”全球半導體展望系列“為筆者每年開年對全球半導體產業的預測與分析。
2025年對Foundry,AI,CPO,以及儲存晶片的超高預測準確度以及未來技術發展以及各家趨勢判斷幾乎無誤,被廣大網友追捧。
今年2026全系列文章總共超10萬字,陸續在筆者在知識星球發佈推出,
第一篇. 2026 CoWoS看GPU與ASIC市場格局
第二篇. 2026全球半導體製造技術與市場展望,
第三篇. 2026全球儲存技術與市場展望,
第四篇. 2026中國半導體製造與裝置國產化展望,
第五篇. 2026全球與大A半導體投資建議。
有興趣的歡迎加入筆者知識星球,掃文末二維碼即可加入,相關文章都會在第一時間公佈在知識星球。
本文摘要:範式轉移的十字路口,製造即王權
2025年的半導體產業,是在冰與火的淬煉中前行的一年。
一邊是AI算力需求如黑洞般吞噬一切先進產能,驅動著台積電的CoWoS封裝產線滿載狂奔,輝達的市值一度逼近5兆美元的天文數字。
另一邊,則是地緣政治的寒流從未消退,美國對華技術管制的“小院高牆”不斷壘砌,供應鏈的“韌性”與“安全”成為各國戰略的核心詞彙。
然而,正如筆者在《2025年全球半導體展望》中所預判的,技術領先性與商業邏輯的壓倒性優勢,始終是穿越周期與政治迷霧的終極燈塔。
復盤2025,筆者的核心論斷 - 台積電憑藉其在先進製程與先進封裝上的雙重統治力,構築了幾乎不可踰越的護城河,被市場走勢與技術演進路徑完美驗證。
無論是英特爾在18A節點的奮力追趕,還是三星在3nm GAA的良率攻堅,都未能動搖“唯有台積電可大批次、高良率交付最複雜、最先進AI晶片”的行業共識。
這並非偶然,而是其數十年在製造技術、客戶生態、資本開支節奏上精密運算的結果。
進入2026年,半導體製造的競賽進入一個更複雜、更立體、也更殘酷的新階段。
摩爾定律在物理與經濟的雙重牆下已顯疲態,但AI對算力的飢渴卻呈指數級增長。
這場“需求暴政”正以前所未有的力度,逼出半導體產業所有的技術潛力與商業智慧。
本文將深入製造腹地,從技術路線、產能博弈、封裝革命、地緣變局四個維度,拆解2026年的核心矛盾與勝負手。
我們將看到,製造已不僅是生產環節,而是定義系統性能、能效乃至產業格局的戰略制高點。
誰掌握了最先進的製造與整合能力,誰就掌握了通往AI時代的船票。
第一篇:復盤2025 — “台積電定律”的再驗證
2025年初,筆者於《2025全球半導體展望》文中,基於對產業底層邏輯的深刻洞察,對全球半導體製造格局,特別是台積電的統治力,做出了一系列關鍵預測。
站在2026年初回望,這些預測經受住了市場的嚴酷檢驗,其精準度與偏差,共同勾勒出半導體製造業的“確定性”與“脆弱性”。
預言精準命中之處
1. 業績模型再度精準預測:
台積電的業績預測是筆者多年以來的強項,接近20年的台積電股票投資以及針對所有可預見細節完善的模型,加上對產業的理解,多年以來,筆者的台積電業績確實很少出錯。
2024年4月,台積電發佈第一季度業績之後,官方的年度業績指引還是維持20%的低位數yoy增長,所有投行賣方研報無一例外也是跟著台積電官方口徑,全網只有筆者在第一季度業績之後,敢於違背台積電官方口徑,發佈2024全年業績將是接近30%的年增率。
台積電官方在第三季業績發佈之後才調高指引到20的高位數。
最終2024年台積電營收落在29.7%年增率,與我24年初與所有投行相悖的30%年增幾乎一致。
2024年底,筆者對25年業績預測為1111億美元,2025年前三個月業績出來後,我重新調整為全年1200億。
目前台積電2025年全年業績已全部公佈,12月3350億新台幣,全年38,090億新台幣,yoy : 31.6%。
換算成美元營收為1224億美元,因2025年新台幣匯率大漲,所以美元營收yoy:36.2%。
最終業績與我3月份公佈的1200億美元,只相差24億,誤差在2%以內,如此高的精準率,甩開同期外資投行的所有研報,其實不論那一年,筆者的台積電營收模型精準率一直都是高於投行。
記得7月份的賣方策略會上,有投資者問我的模型為何第四季比第三季營收更低,大好的台積電,營收不應該一直往上衝嗎?
當時我認為第三季有輝達blackwell的大量出貨,再疊加蘋果A19晶片的出貨,業績異常逆天,第四季可能持平或微幅下跌。
結果2025年蘋果17銷量比預期更好,年底的晶片出貨維持高檔,筆者的模型中蘋果A19晶片的出貨預期少了。
實際上,Q4營收比筆者預期的只差7億美元,略微比Q3高一點點,最終筆者年初的全年營收預測與台積電最終數字只差了24億,2%以內的誤差。
除了,營收的預測,25去年初的年度展望文章中,對半導體技術趨勢以及商業格局也幾乎完美的提前描述出來。
2. 台積電定律的絕對統治:
25年度文章的核心論斷 - 半導體製造的競爭,本質上是’台積電定律’(即以最先進製程、最大規模產能、最高客戶粘性建構的生態壟斷)與’摩爾定律物理極限’之間的賽跑”,這一點被完全驗證。
2025年,台積電在先進製程(N3/N5)的市佔率進一步攀升至接近90%,在AI晶片所需的CoWoS先進封裝產能上,其份額更是超過95%。
輝達Blackwell、AMD MI300X、蘋果A18 Pro、博通ASIC、GoogleTPU……幾乎所有定義時代的算力晶片,其心臟都刻著“TSMC”的印記。
這並非偶然,而是其 “技術領先-> 規模效應-> 資本開支-> 再投資研發” 飛輪效應的必然結果。
25文章預言“贏家通吃”格局將在2025年達到未來幾年最高增速。
如今看來25年36%的美元營收增速,很大機率將是未來幾年台積電營收的最高增速。
當然2026年也不遑多讓,2026年在漲價以及3nm被輝達包圓,2nm開始大量出貨的帶動下,增速有機會再一次挑戰30%,但由於新台幣匯率可能走低,預計美元計算營收yoy在30%左右。
如果以新台幣營收來計算,2025以及2026年營收的yoy有機會都在30%以上,台積電營收將從2023年的693億美元,來到2026年1500億以上,短短三年直接翻倍,這是台積電歷史上絕無僅有的時刻。
2024年筆者文章直接寫到未來三年將是台積電有史以來的黃金三年,正是根據自己手中詳細模型的精準計算,如今都在精準地一一實現中。
台積電這個高增長趨勢,在2027的第四年以及2028的第五年又是如何?
這種長跨度的分析與預測必須對產業以及技術發展趨勢有很深的見解以及推敲
有興趣的朋友,可以認真看完本文最詳細的分析,將對整個半導體產業鏈以及台積電有更深刻的認識。
3. 地緣政治作為最大變數,但商業邏輯最終勝出:
25展望文章明確指出,地緣政治是台積電面臨的最大不確定性,但“其技術領先性和不可替代的產能,使其在夾縫中擁有超然的議價能力”。
2025年,筆者這一判斷被生動演繹。
儘管美國持續施壓,試圖通過《晶片與科學法案》重塑本土供應鏈,強勢要求台積電三星赴美投資,並政策向英特爾嚴重傾斜。
但結果卻是:台積電被美國政府要求投資並提供技術支援英特爾,台積電堅持底線拒絕美政府的要求,但最後也追加了1000億投資換取川普政府的認可。
目前台積電明確將在美國的總投資額高達2000億美元,從原本3個工廠,追加到7座工廠。
7座工廠時間跨度長達10年以上,2030年之前落成3座,2035年之前陸續完成7座工廠的建設,基本也契合台積電未來的產能建設,並不會打亂原有節奏。
未來台積電的新產能建構比例大致為台灣3:美國1左右的比例,也就是美國產能佔總產能20~25%。
以3nm為例台灣島內產能為120k,美國目前0k,2027年30k。
2nm世代,台灣將在2030年以前建構200k以上,而美國在60k左右。
政治以外,台積電亞利桑那廠(N4/N3)進度雖延遲,成本高,被整個市場各種唱衰,政治與生產的雙重打擊,台積電2025第二季度股價來到冰點。
當時全網對台積電美國工廠的不看好,幾乎只有筆者用產業的角度並明確地提出,"整個關鍵在訂單與稼動率" 的觀點,大家不需要人云亦云,尤其是對產業理解不深的投資行業。
半導體一直遵循產業邏輯,所以只要稼動率維持高檔,所謂美國人不加班,生產成本高都將是過往雲煙。
事實上,亞利桑那工廠短短量產不到3個季度,在2025 Q2就開始盈利數十億新台幣,Q3在vendor出現重大斷電事故的同時竟還能維持盈利。
一切如筆者早期所言美國工廠只要稼動率能維持高檔,任何問題都不是事,終歸會解決,未來隨著上下游供應鏈,叢集的不斷集中,利潤必然是逐步提高的過程。
其實這只是再簡單不過的行業邏輯,沒有不懂行的瞎擔憂與瞎樂觀。
台積電在島內的N2量產進度卻按部就班,甚至提前,N2被稱為有史以來最大的超級節點。
至於英特爾18A製程,雖獲美國政府巨額補貼和“國家級客戶”背書,但在爭奪輝達、AMD等一線客戶訂單時,仍步履維艱。
地緣政治扭曲了資本流向,但未能瞬間改變數十年積累的技術代差、人才密度和供應鏈生態。
台積電的“根”仍在台灣,其全球佈局(美國、日本、德國)更多是風險分散和政治避險,而非技術或產能的中心轉移。
4. 先進封裝成為新的戰略高地,台積電一騎絕塵:
25年展望文章指出,當電晶體微縮逼近物理極限,系統級性能的提升將越來越依賴於先進封裝。
2023年,ChatGPT引爆AI算力需求瘋狂增長,徹底引爆了CoWoS產能危機。
台積電憑藉其3DFabric平台(CoWoS、InFO、SoIC),不僅卡住了全球AI晶片的喉嚨,更將封裝從“後道工序”提升至與前端製程平級的“系統整合”戰略高度。
英特爾(Foveros,EMIB)、三星(X-Cube)雖奮力追趕,但在產能規模、客戶生態和互連密度上,與台積電的差距不是在縮小,而是在拉大。
5. 成熟製程的“安全冗餘”與中國的激進擴張:
25展望文章預判,在地緣政治和供應鏈安全驅動下,成熟製程(28nm及以上)將出現區域性“冗餘建設”的區域性產能過剩。
2025年,這一趨勢以遠超預期的速度上演。
中國大陸在成熟製程的資本開支堪稱“瘋狂”,中芯國際、華虹宏力、晶合整合等持續擴產,驅動全球成熟製程產能佔比中,中國大陸份額已突破30%。
這直接導致了全球成熟製程產能局部過剩,價格競爭加劇。
目前國內的28nm代工費已出現1500美元每片的低價,只有台積電28nm的50%,也比UMC以及GF便宜了3成以上,45nm以上代工費同樣一路走低
如25年文章所料,UMC、GF等傳統製程玩家面臨巨大壓力,而台積電則順勢逐步將資源向利潤更高的先進製程傾斜,其成熟製程份額被侵蝕,但利潤結構反而更加健康。
25年預測偏差與市場新動態:
裝置商的意外崛起:
在地緣政治撕裂的供應鏈中,日本、歐洲的半導體裝置商獲得了歷史性機遇。
東京電子TEL、Disco、Screen、ASMI、ASMPT、Besi等公司在刻蝕、塗膠顯影、ALD、封裝等關鍵環節,部分填補了美國裝置受限的空白,其股價和估值在2025年大幅跑贏行業平均。
這在一定程度上削弱了應材AMAT、泛林Lam Research等美系巨頭的絕對主導權,全球裝置格局從“一超多強”向“多極化”微妙演變。
雖然中國大陸半導體的裝置囤貨在2024年基本完成,2025年中國地區的裝置進口銷量卻還是繼續上漲,主要是儲存以及幾座地方支援的新晶圓廠的帶動。
2025年中國區的半導體裝置進口沒有出現下滑而是持續上漲,這一點是始料未及的,也與我25年初的預期有出入。
另外AI帶動先進製程以及儲存的大擴產,2025年年底全球所有裝置商出貨開始走高,並將維持一段時間。
英特爾IFS的“國家意志”與市場現實的撕裂:
25展望文章對英特爾代工業務的挑戰基本正確,但實際的表現則是更為分裂。
一方面,憑藉美國《晶片法案》的巨額補貼和“愛國訂單”(如國防部),IFS獲得了前所未有的資金和政治支援,18A工藝的研發和產能建設提速。
另一方面,在殘酷的商業市場,其能否在2026-2027年如期獲得除英特爾自身和“國家隊”以外的、有份量的一線客戶(如輝達、高通、蘋果),仍是巨大問號。
其“四年五個製程節點”的激進路線,在良率和生態上仍面臨嚴峻考驗,目前我們還是沒有看到根本性的改變。
復盤結論:
總體而言,2025年的全球半導體製造業,完美印證了筆者基於“台積電定律”的分析框架。技術領先性、規模效應和生態粘性,依然是這個行業最堅固的護城河。
地緣政治如同巨大的風浪,能改變航船的路線,甚至迫使它多繞幾個彎,但無法瞬間逆轉由物理定律、工程積累和商業網路構成的洋流方向。
台積電的領先地位在2025年不僅沒有動搖,反而因其在AI時代的關鍵樞紐作用而更加鞏固。
然而,地緣政治的風浪也永久地改變了海域圖:供應鏈的區域化冗餘,局部產能過剩、技術路線的多元化探索(如背面供電、CFET、CPO)、以及國家意志對商業邏輯的空前干預,將成為未來十年半導體製造業的新常態。
第二篇:鐵軌上的狂奔 - 邏輯製程演進與物理極限的攻防戰
半導體製造的精髓,在於在原子尺度上雕刻電路。這條由“製程節點”標識的賽道,是巨頭們展示肌肉的主戰場。
2026年,戰火已從“奈米時代”全面燒向“埃米時代”。
引領摩爾定律的企業就能引領行業這一條鐵律,從半導體誕生以來至今沒有任何改變。
1.1 技術預測與行業共識的鞏固
台積電N2量產窗口確認:
台積電按計畫於2025年下半年進入N2的風險量產,首發客戶為AMD,蘋果、高通與聯發科將於2026年跟進。
N2首次引入奈米片電晶體Nanosheet FET,取代FinFET,標誌著GAA時代的正式到來。
奈米片結構通過調整Sheet Width可獨立最佳化驅動電流與靜電控制,相比FinFET在相同功耗下性能提升10-15%,或在相同性能下功耗降低25-30%。
英特爾18A的“技術勝利”與“量產挑戰”:
英特爾在IEDM 2024上高調展示的RibbonFET和PowerVia確實取得了技術突破。
特別是PowerVia,將供電從電晶體正面移至背面,能顯著降低IR Drop和擁塞,據其資料可提升晶片性能並縮小面積。
然而,從測試晶片到大規模量產並獲得外部大客戶(如蘋果、Meta、微軟)的實質性訂單,仍有漫長道路。
2026年,英特爾18A的良率爬坡和生態建設仍是其最大挑戰。
三星的“激進”與“務實”:
三星在3nm GAA(3GAE/3GAP)的率先量產曾引發關注,但正如行業所擔憂的,其在高性能計算(HPC) 領域的客戶接納度和良率穩定性始終是疑問。
2025年,三星將更多資源投向其改良的4nm(4LPP+) 和2nm(SF2),試圖以更成熟的工藝和積極的定價策略搶奪台積電N3/N4家族的客戶。
但至少在2025年,其在最頂尖的AI訓練晶片市場,存在感依然微弱。
1.2 2026技術前瞻:埃米時代的軍備競賽與“後奈米”的多元探索
2026年,製程競賽將圍繞以下焦點展開:
台積電的製程霸權:N2全面量產與N2P增強
2026年是N2的放量年。除了蘋果的A系列/M系列晶片、AMD的Zen 6、高通8 Elite 6、聯發科9600等旗艦產品將大規模採用。
N2相比N3E,在相同功耗下性能提升15%,在相同性能下功耗降低30%,邏輯密度提升約1.15倍。
2026年底,台積電將推出N2P,在N2基礎上引入背面供電BSPDN,這類似於英特爾的PowerVia,旨在解決正面布線擁堵和供電問題,為更高性能的HPC晶片鋪路。
埃米時代技術細節浮出水面:
作為N2之後的節點,A14將於2027試生產,2028年正式量產。
引入NanoFlexPro的第二代GAA標準單元,初代A14不支援背供電,2029年的A12才支援背供電版。
從台積電內部瞭解,正因為背供電技術延緩了M0/M1 Pitch微縮的壓力,所以台積電將在A10才會首次大規模採用High-NA EUV,最快也是2029年的A14P匯入,並小規模採用。
當然,目前台積電研發中心已經與合作方已開始探討High-NA下的光刻膠挑戰、光罩3D效應補償以及新的設計規則。
A14的下一代A10將於2030年推出,依照規劃路線將採用CFET(互補場效應電晶體,即n型和p型奈米片垂直堆疊) 的早期形態或進一步最佳化的Forksheet器件架構,以繼續提升密度。
根據IMEC的路線圖,CFET能將電晶體密度再提升2倍以上,是GAA之後延續摩爾定律的新一代結構。
材料與工程的極限突破:
在器件層面,金屬柵極功函數工程、高k介質層最佳化、源漏極應變工程仍是每代工藝的必修課。
在互連層面,鈷(Co)互連、釕(Ru)等新型阻擋層/襯墊材料的引入,以及低k介質(k值<2.0) 的持續研發,是應對RC延遲挑戰的核心。
二維材料做為1nm以下的重要技術,在2030年前後開始匯入,預計A10或A7製程。
二維材料主要應用在溝道,接觸電極以及互聯,目前MoS2以及WS2(n型)以及WSe2(p型)方面,台積電與IMEC合作研究多年,2025年IEDM剛剛展示了WSe2 p型器件接觸技術的技術突破。
台積電、台大、MIT 三方共同研究的金屬鉍Bi接觸電極技術,發展多年,已經走出實驗室,轉入規模化製備以及大面積轉移的研發與落地。
是目前全球二維材料距離量產最接近的項目。
台積電與國立清華大學的聯合研發中心,由浸沒式光刻技術發明人林本堅擔任主任,是目前台積電光刻技術的次一級前沿探索研發機構,並不斷的向台積電輸送高端光刻人才。
陽明交大的台積電聯合研發中心則主要負責二維材料在先進封裝的前沿研發。
另外,台積電與MIT(AI及二維材料)、普渡大學(全流程合作)、史丹佛大學(低功耗異構整合)、加大伯克利(新型儲存)、喬治亞理工(3D封裝)、伊利諾伊大學香檳分校(SiPH)、亞利桑那州立大學聯合研發中心等都有聯合研發中心,專項合作,人才交換,MPW流片支援等合作,每年對於半導體前沿科學的研發投入非常龐大。
長期與全球所有高等科研院校深度合作是台積電在前沿科學佈局的高明之處,十多年下來形成了一個良性循環,不斷加強颱積電的研發力量。
英特爾的“四年五個節點”收官與反攻:
2026年是英特爾“四年五個節點”戰略的收官之年。其成敗關鍵在於:
18A的良率與外部客戶:能否將IEDM 2024上展示的RibbonFET和PowerVia技術優勢,轉化為穩定、高良率的大規模製造能力,並成功為高通、微軟甚至亞馬遜等外部客戶流片、量產,是衡量其IFS能否立足的關鍵。
14A的亮相:英特爾將在2026年首次展示14A節點的技術細節。預計將採用High-NA EUV,並在CFET等更激進結構上有所佈局。
目前英特爾18A的MTr電晶體密度只達到台積電上一代N3的水平,完全落後台積電一個世代。
14A技術可能追上台積電N2的MTr,但是英特爾14A推出同時,台積電的A14也開始量產,如此一來只是名字一樣,真實製程還是落後一代。
我們可以確定英特爾14A不可能一次跨越兩代,未來MTr還是落後一代世代的差距。
在MTr明確落後的情況下,能否在PPA上接近台積電同期節點,將決定英特爾到底是否具備追近台積電的可能。
如果明確雙方差距是不斷擴大或是差距一直持平,而不是可追近,英特爾董事會或者美國政府在明確沒有追近的可能,加上同時間台積電不斷在美國本土擴大產能,英特爾IFS被放棄的可能性將不斷提高。
當然如果英特爾可以證明,他與台積電的差距是有機會慢慢拉進的,那IFS也將會獲得更大的支援,但是筆者對半導體行業的理解,目前沒有任何理由可以支援雙方差距有拉近的可能。
製造與設計的協同:
英特爾是唯一一家同時擁有頂尖晶片設計(CPU/GPU)和製造能力的IDM。
其PowerVia技術率先量產的經驗,以及設計團隊與製造團隊的無縫協同,是其區別於純代工廠的獨特優勢。
2025年輝達應美國政府要求戰略投資英特爾50億美元,成為持股4%的大股東,且雙方將展開身深度合作,英特爾的X86 CPU將整合輝達RTX GPU,該合作不只是針對資料中心,也包含CCG部門。
如何將這種優勢轉化為對AI晶片客戶(如需要定製化互連和封裝)的吸引力,是英特爾2026年的看點。
目前英特爾的關鍵並非在製程的追趕上,畢竟18A只有台積電N3水平是明確落後一代的製程。
英特爾此時此刻的的生死關鍵是採用18A製程的Panther Lake的銷量,銷量是融合一切的最終結果,性能差銷量自然不會好,性能好銷量自然能好。
18A的電晶體密度是落後一代,但依靠英特爾的傳統強項設計端最佳化,如果PPA表現可以超越3nm,追近台積電N2,那Panther lake在銷售市場還是有機會的。
但如果採用18A製程的Panther lake銷量拉了,那後續將會是什麼局面?
業績無法轉好,虧損持續,無法增加資本支出在製程上加大投入,那追近台積電將更無可能。
所以Panther lake的成敗是目前看英特爾的唯一關鍵,沒有其他。
三星的“追趕者”策略
三星的SF2將在2026年進入風險量產,三星的策略很明確,以更有競爭力的價格和靈活的產能合作模式,吸引除了蘋果、輝達、AMD之外的第二梯隊客戶,例如一些AI初創公司、自動駕駛晶片公司,甚至部分高通訂單。
三星在HBM記憶體和先進封裝(如I-Cube、X-Cube)上的垂直整合能力是其賣點。
但核心挑戰仍是HPC工藝的穩定性和設計生態(EDA工具、IP庫)的成熟度。
2025年三星在先進製程的全球產能佔比約為15%,遠低於台積電的近70%。
如果計算代工出貨金額計算,台積電更是以90%以上的絕對資料壟斷全球先進製程的出貨。
2026年,這一格局發生扭轉的可能性幾乎沒有,台積電N2量產之後,台積電的市佔率將近一步擴大。
1.3 裝置與材料:支撐鐵軌的基石 製程微縮的背後,是裝置與材料的軍備競賽。
光刻:High-NA EUV的黎明來了?
ASML的0.55 NA EUV光刻機EXE:5200在2025年已向英特爾、台積電等客戶交付。
High-NA通過將數值孔徑從0.33提升至0.55,將解析度從13nm提升至8nm,但代價是焦深DOF更淺,對wafer平整度、光刻膠性能、光罩誤差修正MEC和計算光刻OPC提出了地獄級的要求。
這也推動了金屬氧化物光刻膠Metal-Oxide Resist和新型抗反射塗層ARC/BARC的研發。
High-NA是這兩年ASML的宣傳重點,但從台積電內部瞭解,最快在2029年的A14P少量匯入,2030年的A10才會正式大規模匯入。
為什麼呢?因為台積電在2nm以及A14依靠low-NA的多重曝光完全可以解決,要知道台積電被行業稱頌的MMO=DCO的神技,確實可以讓他比競爭對手更不仰賴解析度更高的光刻機。
所以在2nm以及A14時代,台積電不需要花接近兩倍的價格,每台4億多美元去買High-NA。
這一點我們可以從台積電第一台High-NA的EXE:5200是2025年到貨的來進一步佐證。
全新技術的tool必然是先給RD,而台積電研發中心的A10團隊也是2025年進駐,正好用上25年交付的這台EXE5200進行研發。
而2023年就已進駐研發中心的A14團隊,整個研發工作都是基於low-NA,所以想在27年就要試生產的A14去用High-NA從時間來看明確來不及。
至於製程技術較差,尤其是MMO無法等於DCO的英特爾,想追趕台積電提早匯入High-NA或許是必要的。
下一代的英特爾14A必然是全面匯入High-NA,但從英特爾目前的Capex來看,A14大機率跟現在一樣,還是只搞兩萬片產能,如此小的產能對ASML來說只能是苦笑,有總比沒有好。
關鍵還是得看每個節點至少12萬片的台積電啥時候採用High-NA,不論英特爾還是三星,對光刻機的交付只能是不無小補的作用。
薄膜沉積:
未來沉積技術的核心挑戰圍繞著3D結構、原子級精度、更低溫、更高均勻性、更低損傷展開。
3D化與高深寬比(HAR)結構:
為支撐3D NAND超500或1000層的堆疊、3D DRAM、GAA/CFET邏輯器件,沉積技術需能在極高深寬比(>100:1)的溝槽、孔洞中實現保形Conformal且無缺陷的薄膜生長。
原子級精確控制:
隨著關鍵尺寸進入亞奈米級,原子層沉積(ALD) 及其變體(如PEALD、Thermal ALD)從“可選項”轉變為必須項,成為實現高介電常數柵極、內間隔層、精確厚度控制的核心方法學。
材料創新與組合:
應對新器件結構(如GAA、MRAM)和新互連方案(如無阻擋層金屬化),需要開發新的前驅體化學和材料體系(如ALD-Mo用於NAND字線,高k材料如HfO₂,選擇性沉積材料)。
電漿體控制與穩定性:
無論是PECVD還是PEALD,電漿體的穩定性(避免微電弧、電漿體團等)直接決定了薄膜均勻性、質量和良率,成為沉積工藝真正的瓶頸。
刻蝕:
未來蝕刻技術的核心挑戰是從經驗藝術走向可預測的工程學,重點是原子級控制、電漿體物理與化學的精確解耦、以及極端3D結構的處理能力。
從連續蝕刻到原子層蝕刻 (ALE):
傳統反應離子蝕刻(RIE)在3D和原子級尺度下逼近極限。ALE 通過“自限制”的兩步法(表面改性+可控去除)實現原子級精度、更低損傷和對深寬比不敏感的特性,成為先進邏輯(GAA柵極、內間隔層)和儲存(高深寬比結構精修)的關鍵技術。
電漿體工程成為核心瓶頸:
先進製程的真正瓶頸正從光刻轉向電漿體和射頻(RF)電源工程。蝕刻和沉積都面臨電漿體控制難題,如穩定性、離子/自由基通量解耦、非線性混頻干擾等。
3D NAND驅動的高深寬比蝕刻極限挑戰:
為實現1000層NAND,通道孔蝕刻需突破深寬比100:1,面臨射頻功率擴展、電弧、輪廓控制(側壁角度>89.96°)、均勻性(晶圓邊緣效應)等系統性挑戰。
複雜材料體系的選擇性蝕刻:
新型儲存器(MRAM)、GAA中的Si/SiGe超晶格、新互連材料等,需要開發新的選擇性蝕刻機制,包括無電漿體的氣相化學蝕刻(如TEL的COR技術)和離子束蝕刻(IBE)。
“人機協同”與多物理場模擬:
靠經驗調參數的時代結束。結合AI輔助工藝開發、多物理場模擬(如Lam的VizGlow)和即時感測,實現快速配方開發和工藝視窗預測。
量測與檢測:守護良率的眼睛
隨著結構複雜化、尺寸微縮,套刻精度(Overlay)、關鍵尺寸(CD)、缺陷檢測的容差越來越小。
High-NA EUV: 隨機缺陷率大幅上升,需要“光罩廠+晶圓廠”雙重檢測流程。
GAA奈米片: 濕法釋放步驟的殘留缺陷(密度達0.12 cm⁻²)需 1 nm解析度的電子束複檢系統。
背面供電: 晶圓減薄至<50 μm後,TSV顯露工藝需 0.1 μm三維精度的形貌+輪廓一體量測。
混合鍵合: Pad直徑從>100 μm縮至<5 μm,檢測靈敏度必須從>10 μm提升至 0.15 μm。
2.5D中介層: 尺寸超過光罩極限需拼接,拼接縫錯位需 <0.25 μm,依賴套刻量測系統即時量測並動態反饋校正光刻機。
未來,更高精度(亞奈米)、更高吞吐量(多束/高速)、更深穿透(3D/埋藏結構檢測)、以及與AI/ML深度結合實現智能閉環控制是量測檢測的發展方向挑戰。
2026年的邏輯製程競賽,是台積電鞏固霸權、英特爾奮力一搏、三星伺機搶奪份額的格局。
技術焦點從單純的尺寸微縮,轉向器件結構創新(GAA→CFET)、新材料引入、以及光刻、刻蝕、量測等底層工具的極限突破。
摩爾定律的延續,越來越像一場耗費數百億美元的精密系統工程。
第二篇:產能的戰爭——全球佈局、地緣博弈與成熟製程的“紅海”
未完待續,知識星球發佈
第三篇:超越平面——先進封裝與光互連的系統級革命
未完待續,知識星球發佈
第四篇:結論——鐵王座的邏輯與地緣政治的漣漪 (梓豪談芯)