#晶圓代工
2026年全球半導體展望之二 半導體製造及晶圓代工篇(上)
”全球半導體展望系列“為筆者每年開年對全球半導體產業的預測與分析。2025年對Foundry,AI,CPO,以及儲存晶片的超高預測準確度以及未來技術發展以及各家趨勢判斷幾乎無誤,被廣大網友追捧。今年2026全系列文章總共超10萬字,陸續在筆者在知識星球發佈推出,第一篇. 2026 CoWoS看GPU與ASIC市場格局第二篇. 2026全球半導體製造技術與市場展望,第三篇. 2026全球儲存技術與市場展望,第四篇. 2026中國半導體製造與裝置國產化展望,第五篇. 2026全球與大A半導體投資建議。有興趣的歡迎加入筆者知識星球,掃文末二維碼即可加入,相關文章都會在第一時間公佈在知識星球。本文摘要:範式轉移的十字路口,製造即王權2025年的半導體產業,是在冰與火的淬煉中前行的一年。一邊是AI算力需求如黑洞般吞噬一切先進產能,驅動著台積電的CoWoS封裝產線滿載狂奔,輝達的市值一度逼近5兆美元的天文數字。另一邊,則是地緣政治的寒流從未消退,美國對華技術管制的“小院高牆”不斷壘砌,供應鏈的“韌性”與“安全”成為各國戰略的核心詞彙。然而,正如筆者在《2025年全球半導體展望》中所預判的,技術領先性與商業邏輯的壓倒性優勢,始終是穿越周期與政治迷霧的終極燈塔。復盤2025,筆者的核心論斷 - 台積電憑藉其在先進製程與先進封裝上的雙重統治力,構築了幾乎不可踰越的護城河,被市場走勢與技術演進路徑完美驗證。無論是英特爾在18A節點的奮力追趕,還是三星在3nm GAA的良率攻堅,都未能動搖“唯有台積電可大批次、高良率交付最複雜、最先進AI晶片”的行業共識。這並非偶然,而是其數十年在製造技術、客戶生態、資本開支節奏上精密運算的結果。進入2026年,半導體製造的競賽進入一個更複雜、更立體、也更殘酷的新階段。摩爾定律在物理與經濟的雙重牆下已顯疲態,但AI對算力的飢渴卻呈指數級增長。這場“需求暴政”正以前所未有的力度,逼出半導體產業所有的技術潛力與商業智慧。本文將深入製造腹地,從技術路線、產能博弈、封裝革命、地緣變局四個維度,拆解2026年的核心矛盾與勝負手。我們將看到,製造已不僅是生產環節,而是定義系統性能、能效乃至產業格局的戰略制高點。誰掌握了最先進的製造與整合能力,誰就掌握了通往AI時代的船票。第一篇:復盤2025 — “台積電定律”的再驗證2025年初,筆者於《2025全球半導體展望》文中,基於對產業底層邏輯的深刻洞察,對全球半導體製造格局,特別是台積電的統治力,做出了一系列關鍵預測。站在2026年初回望,這些預測經受住了市場的嚴酷檢驗,其精準度與偏差,共同勾勒出半導體製造業的“確定性”與“脆弱性”。預言精準命中之處1. 業績模型再度精準預測:台積電的業績預測是筆者多年以來的強項,接近20年的台積電股票投資以及針對所有可預見細節完善的模型,加上對產業的理解,多年以來,筆者的台積電業績確實很少出錯。2024年4月,台積電發佈第一季度業績之後,官方的年度業績指引還是維持20%的低位數yoy增長,所有投行賣方研報無一例外也是跟著台積電官方口徑,全網只有筆者在第一季度業績之後,敢於違背台積電官方口徑,發佈2024全年業績將是接近30%的年增率。台積電官方在第三季業績發佈之後才調高指引到20的高位數。最終2024年台積電營收落在29.7%年增率,與我24年初與所有投行相悖的30%年增幾乎一致。2024年底,筆者對25年業績預測為1111億美元,2025年前三個月業績出來後,我重新調整為全年1200億。2025.03.30公佈的台積電業績預測模型目前台積電2025年全年業績已全部公佈,12月3350億新台幣,全年38,090億新台幣,yoy : 31.6%。換算成美元營收為1224億美元,因2025年新台幣匯率大漲,所以美元營收yoy:36.2%。最終業績與我3月份公佈的1200億美元,只相差24億,誤差在2%以內,如此高的精準率,甩開同期外資投行的所有研報,其實不論那一年,筆者的台積電營收模型精準率一直都是高於投行。記得7月份的賣方策略會上,有投資者問我的模型為何第四季比第三季營收更低,大好的台積電,營收不應該一直往上衝嗎?當時我認為第三季有輝達blackwell的大量出貨,再疊加蘋果A19晶片的出貨,業績異常逆天,第四季可能持平或微幅下跌。結果2025年蘋果17銷量比預期更好,年底的晶片出貨維持高檔,筆者的模型中蘋果A19晶片的出貨預期少了。實際上,Q4營收比筆者預期的只差7億美元,略微比Q3高一點點,最終筆者年初的全年營收預測與台積電最終數字只差了24億,2%以內的誤差。2025年台積電最終營收除了,營收的預測,25去年初的年度展望文章中,對半導體技術趨勢以及商業格局也幾乎完美的提前描述出來。2. 台積電定律的絕對統治:25年度文章的核心論斷 - 半導體製造的競爭,本質上是’台積電定律’(即以最先進製程、最大規模產能、最高客戶粘性建構的生態壟斷)與’摩爾定律物理極限’之間的賽跑”,這一點被完全驗證。2025年,台積電在先進製程(N3/N5)的市佔率進一步攀升至接近90%,在AI晶片所需的CoWoS先進封裝產能上,其份額更是超過95%。輝達Blackwell、AMD MI300X、蘋果A18 Pro、博通ASIC、GoogleTPU……幾乎所有定義時代的算力晶片,其心臟都刻著“TSMC”的印記。這並非偶然,而是其 “技術領先-> 規模效應-> 資本開支-> 再投資研發” 飛輪效應的必然結果。25文章預言“贏家通吃”格局將在2025年達到未來幾年最高增速。如今看來25年36%的美元營收增速,很大機率將是未來幾年台積電營收的最高增速。當然2026年也不遑多讓,2026年在漲價以及3nm被輝達包圓,2nm開始大量出貨的帶動下,增速有機會再一次挑戰30%,但由於新台幣匯率可能走低,預計美元計算營收yoy在30%左右。如果以新台幣營收來計算,2025以及2026年營收的yoy有機會都在30%以上,台積電營收將從2023年的693億美元,來到2026年1500億以上,短短三年直接翻倍,這是台積電歷史上絕無僅有的時刻。2024年筆者文章直接寫到未來三年將是台積電有史以來的黃金三年,正是根據自己手中詳細模型的精準計算,如今都在精準地一一實現中。台積電這個高增長趨勢,在2027的第四年以及2028的第五年又是如何?這種長跨度的分析與預測必須對產業以及技術發展趨勢有很深的見解以及推敲有興趣的朋友,可以認真看完本文最詳細的分析,將對整個半導體產業鏈以及台積電有更深刻的認識。3. 地緣政治作為最大變數,但商業邏輯最終勝出:25展望文章明確指出,地緣政治是台積電面臨的最大不確定性,但“其技術領先性和不可替代的產能,使其在夾縫中擁有超然的議價能力”。2025年,筆者這一判斷被生動演繹。儘管美國持續施壓,試圖通過《晶片與科學法案》重塑本土供應鏈,強勢要求台積電三星赴美投資,並政策向英特爾嚴重傾斜。但結果卻是:台積電被美國政府要求投資並提供技術支援英特爾,台積電堅持底線拒絕美政府的要求,但最後也追加了1000億投資換取川普政府的認可。目前台積電明確將在美國的總投資額高達2000億美元,從原本3個工廠,追加到7座工廠。7座工廠時間跨度長達10年以上,2030年之前落成3座,2035年之前陸續完成7座工廠的建設,基本也契合台積電未來的產能建設,並不會打亂原有節奏。未來台積電的新產能建構比例大致為台灣3:美國1左右的比例,也就是美國產能佔總產能20~25%。以3nm為例台灣島內產能為120k,美國目前0k,2027年30k。2nm世代,台灣將在2030年以前建構200k以上,而美國在60k左右。政治以外,台積電亞利桑那廠(N4/N3)進度雖延遲,成本高,被整個市場各種唱衰,政治與生產的雙重打擊,台積電2025第二季度股價來到冰點。當時全網對台積電美國工廠的不看好,幾乎只有筆者用產業的角度並明確地提出,"整個關鍵在訂單與稼動率" 的觀點,大家不需要人云亦云,尤其是對產業理解不深的投資行業。半導體一直遵循產業邏輯,所以只要稼動率維持高檔,所謂美國人不加班,生產成本高都將是過往雲煙。事實上,亞利桑那工廠短短量產不到3個季度,在2025 Q2就開始盈利數十億新台幣,Q3在vendor出現重大斷電事故的同時竟還能維持盈利。一切如筆者早期所言美國工廠只要稼動率能維持高檔,任何問題都不是事,終歸會解決,未來隨著上下游供應鏈,叢集的不斷集中,利潤必然是逐步提高的過程。其實這只是再簡單不過的行業邏輯,沒有不懂行的瞎擔憂與瞎樂觀。台積電在島內的N2量產進度卻按部就班,甚至提前,N2被稱為有史以來最大的超級節點。至於英特爾18A製程,雖獲美國政府巨額補貼和“國家級客戶”背書,但在爭奪輝達、AMD等一線客戶訂單時,仍步履維艱。地緣政治扭曲了資本流向,但未能瞬間改變數十年積累的技術代差、人才密度和供應鏈生態。台積電的“根”仍在台灣,其全球佈局(美國、日本、德國)更多是風險分散和政治避險,而非技術或產能的中心轉移。4. 先進封裝成為新的戰略高地,台積電一騎絕塵:25年展望文章指出,當電晶體微縮逼近物理極限,系統級性能的提升將越來越依賴於先進封裝。2023年,ChatGPT引爆AI算力需求瘋狂增長,徹底引爆了CoWoS產能危機。台積電憑藉其3DFabric平台(CoWoS、InFO、SoIC),不僅卡住了全球AI晶片的喉嚨,更將封裝從“後道工序”提升至與前端製程平級的“系統整合”戰略高度。英特爾(Foveros,EMIB)、三星(X-Cube)雖奮力追趕,但在產能規模、客戶生態和互連密度上,與台積電的差距不是在縮小,而是在拉大。5. 成熟製程的“安全冗餘”與中國的激進擴張:25展望文章預判,在地緣政治和供應鏈安全驅動下,成熟製程(28nm及以上)將出現區域性“冗餘建設”的區域性產能過剩。2025年,這一趨勢以遠超預期的速度上演。中國大陸在成熟製程的資本開支堪稱“瘋狂”,中芯國際、華虹宏力、晶合整合等持續擴產,驅動全球成熟製程產能佔比中,中國大陸份額已突破30%。這直接導致了全球成熟製程產能局部過剩,價格競爭加劇。目前國內的28nm代工費已出現1500美元每片的低價,只有台積電28nm的50%,也比UMC以及GF便宜了3成以上,45nm以上代工費同樣一路走低如25年文章所料,UMC、GF等傳統製程玩家面臨巨大壓力,而台積電則順勢逐步將資源向利潤更高的先進製程傾斜,其成熟製程份額被侵蝕,但利潤結構反而更加健康。25年預測偏差與市場新動態:裝置商的意外崛起:在地緣政治撕裂的供應鏈中,日本、歐洲的半導體裝置商獲得了歷史性機遇。東京電子TEL、Disco、Screen、ASMI、ASMPT、Besi等公司在刻蝕、塗膠顯影、ALD、封裝等關鍵環節,部分填補了美國裝置受限的空白,其股價和估值在2025年大幅跑贏行業平均。這在一定程度上削弱了應材AMAT、泛林Lam Research等美系巨頭的絕對主導權,全球裝置格局從“一超多強”向“多極化”微妙演變。雖然中國大陸半導體的裝置囤貨在2024年基本完成,2025年中國地區的裝置進口銷量卻還是繼續上漲,主要是儲存以及幾座地方支援的新晶圓廠的帶動。2025年中國區的半導體裝置進口沒有出現下滑而是持續上漲,這一點是始料未及的,也與我25年初的預期有出入。另外AI帶動先進製程以及儲存的大擴產,2025年年底全球所有裝置商出貨開始走高,並將維持一段時間。英特爾IFS的“國家意志”與市場現實的撕裂:25展望文章對英特爾代工業務的挑戰基本正確,但實際的表現則是更為分裂。一方面,憑藉美國《晶片法案》的巨額補貼和“愛國訂單”(如國防部),IFS獲得了前所未有的資金和政治支援,18A工藝的研發和產能建設提速。另一方面,在殘酷的商業市場,其能否在2026-2027年如期獲得除英特爾自身和“國家隊”以外的、有份量的一線客戶(如輝達、高通、蘋果),仍是巨大問號。其“四年五個製程節點”的激進路線,在良率和生態上仍面臨嚴峻考驗,目前我們還是沒有看到根本性的改變。復盤結論:總體而言,2025年的全球半導體製造業,完美印證了筆者基於“台積電定律”的分析框架。技術領先性、規模效應和生態粘性,依然是這個行業最堅固的護城河。地緣政治如同巨大的風浪,能改變航船的路線,甚至迫使它多繞幾個彎,但無法瞬間逆轉由物理定律、工程積累和商業網路構成的洋流方向。台積電的領先地位在2025年不僅沒有動搖,反而因其在AI時代的關鍵樞紐作用而更加鞏固。然而,地緣政治的風浪也永久地改變了海域圖:供應鏈的區域化冗餘,局部產能過剩、技術路線的多元化探索(如背面供電、CFET、CPO)、以及國家意志對商業邏輯的空前干預,將成為未來十年半導體製造業的新常態。第二篇:鐵軌上的狂奔 - 邏輯製程演進與物理極限的攻防戰半導體製造的精髓,在於在原子尺度上雕刻電路。這條由“製程節點”標識的賽道,是巨頭們展示肌肉的主戰場。2026年,戰火已從“奈米時代”全面燒向“埃米時代”。引領摩爾定律的企業就能引領行業這一條鐵律,從半導體誕生以來至今沒有任何改變。1.1 技術預測與行業共識的鞏固台積電N2量產窗口確認:台積電按計畫於2025年下半年進入N2的風險量產,首發客戶為AMD,蘋果、高通與聯發科將於2026年跟進。N2首次引入奈米片電晶體Nanosheet FET,取代FinFET,標誌著GAA時代的正式到來。奈米片結構通過調整Sheet Width可獨立最佳化驅動電流與靜電控制,相比FinFET在相同功耗下性能提升10-15%,或在相同性能下功耗降低25-30%。英特爾18A的“技術勝利”與“量產挑戰”:英特爾在IEDM 2024上高調展示的RibbonFET和PowerVia確實取得了技術突破。特別是PowerVia,將供電從電晶體正面移至背面,能顯著降低IR Drop和擁塞,據其資料可提升晶片性能並縮小面積。然而,從測試晶片到大規模量產並獲得外部大客戶(如蘋果、Meta、微軟)的實質性訂單,仍有漫長道路。2026年,英特爾18A的良率爬坡和生態建設仍是其最大挑戰。三星的“激進”與“務實”:三星在3nm GAA(3GAE/3GAP)的率先量產曾引發關注,但正如行業所擔憂的,其在高性能計算(HPC) 領域的客戶接納度和良率穩定性始終是疑問。2025年,三星將更多資源投向其改良的4nm(4LPP+) 和2nm(SF2),試圖以更成熟的工藝和積極的定價策略搶奪台積電N3/N4家族的客戶。但至少在2025年,其在最頂尖的AI訓練晶片市場,存在感依然微弱。1.2 2026技術前瞻:埃米時代的軍備競賽與“後奈米”的多元探索2026年,製程競賽將圍繞以下焦點展開:台積電的製程霸權:N2全面量產與N2P增強2026年是N2的放量年。除了蘋果的A系列/M系列晶片、AMD的Zen 6、高通8 Elite 6、聯發科9600等旗艦產品將大規模採用。N2相比N3E,在相同功耗下性能提升15%,在相同性能下功耗降低30%,邏輯密度提升約1.15倍。2026年底,台積電將推出N2P,在N2基礎上引入背面供電BSPDN,這類似於英特爾的PowerVia,旨在解決正面布線擁堵和供電問題,為更高性能的HPC晶片鋪路。埃米時代技術細節浮出水面:作為N2之後的節點,A14將於2027試生產,2028年正式量產。引入NanoFlexPro的第二代GAA標準單元,初代A14不支援背供電,2029年的A12才支援背供電版。從台積電內部瞭解,正因為背供電技術延緩了M0/M1 Pitch微縮的壓力,所以台積電將在A10才會首次大規模採用High-NA EUV,最快也是2029年的A14P匯入,並小規模採用。當然,目前台積電研發中心已經與合作方已開始探討High-NA下的光刻膠挑戰、光罩3D效應補償以及新的設計規則。A14的下一代A10將於2030年推出,依照規劃路線將採用CFET(互補場效應電晶體,即n型和p型奈米片垂直堆疊) 的早期形態或進一步最佳化的Forksheet器件架構,以繼續提升密度。根據IMEC的路線圖,CFET能將電晶體密度再提升2倍以上,是GAA之後延續摩爾定律的新一代結構。材料與工程的極限突破:在器件層面,金屬柵極功函數工程、高k介質層最佳化、源漏極應變工程仍是每代工藝的必修課。在互連層面,鈷(Co)互連、釕(Ru)等新型阻擋層/襯墊材料的引入,以及低k介質(k值<2.0) 的持續研發,是應對RC延遲挑戰的核心。二維材料做為1nm以下的重要技術,在2030年前後開始匯入,預計A10或A7製程。二維材料主要應用在溝道,接觸電極以及互聯,目前MoS2以及WS2(n型)以及WSe2(p型)方面,台積電與IMEC合作研究多年,2025年IEDM剛剛展示了WSe2 p型器件接觸技術的技術突破。台積電、台大、MIT 三方共同研究的金屬鉍Bi接觸電極技術,發展多年,已經走出實驗室,轉入規模化製備以及大面積轉移的研發與落地。是目前全球二維材料距離量產最接近的項目。台積電與國立清華大學的聯合研發中心,由浸沒式光刻技術發明人林本堅擔任主任,是目前台積電光刻技術的次一級前沿探索研發機構,並不斷的向台積電輸送高端光刻人才。陽明交大的台積電聯合研發中心則主要負責二維材料在先進封裝的前沿研發。另外,台積電與MIT(AI及二維材料)、普渡大學(全流程合作)、史丹佛大學(低功耗異構整合)、加大伯克利(新型儲存)、喬治亞理工(3D封裝)、伊利諾伊大學香檳分校(SiPH)、亞利桑那州立大學聯合研發中心等都有聯合研發中心,專項合作,人才交換,MPW流片支援等合作,每年對於半導體前沿科學的研發投入非常龐大。長期與全球所有高等科研院校深度合作是台積電在前沿科學佈局的高明之處,十多年下來形成了一個良性循環,不斷加強颱積電的研發力量。英特爾的“四年五個節點”收官與反攻:2026年是英特爾“四年五個節點”戰略的收官之年。其成敗關鍵在於:18A的良率與外部客戶:能否將IEDM 2024上展示的RibbonFET和PowerVia技術優勢,轉化為穩定、高良率的大規模製造能力,並成功為高通、微軟甚至亞馬遜等外部客戶流片、量產,是衡量其IFS能否立足的關鍵。14A的亮相:英特爾將在2026年首次展示14A節點的技術細節。預計將採用High-NA EUV,並在CFET等更激進結構上有所佈局。目前英特爾18A的MTr電晶體密度只達到台積電上一代N3的水平,完全落後台積電一個世代。14A技術可能追上台積電N2的MTr,但是英特爾14A推出同時,台積電的A14也開始量產,如此一來只是名字一樣,真實製程還是落後一代。我們可以確定英特爾14A不可能一次跨越兩代,未來MTr還是落後一代世代的差距。在MTr明確落後的情況下,能否在PPA上接近台積電同期節點,將決定英特爾到底是否具備追近台積電的可能。如果明確雙方差距是不斷擴大或是差距一直持平,而不是可追近,英特爾董事會或者美國政府在明確沒有追近的可能,加上同時間台積電不斷在美國本土擴大產能,英特爾IFS被放棄的可能性將不斷提高。當然如果英特爾可以證明,他與台積電的差距是有機會慢慢拉進的,那IFS也將會獲得更大的支援,但是筆者對半導體行業的理解,目前沒有任何理由可以支援雙方差距有拉近的可能。製造與設計的協同:英特爾是唯一一家同時擁有頂尖晶片設計(CPU/GPU)和製造能力的IDM。其PowerVia技術率先量產的經驗,以及設計團隊與製造團隊的無縫協同,是其區別於純代工廠的獨特優勢。2025年輝達應美國政府要求戰略投資英特爾50億美元,成為持股4%的大股東,且雙方將展開身深度合作,英特爾的X86 CPU將整合輝達RTX GPU,該合作不只是針對資料中心,也包含CCG部門。如何將這種優勢轉化為對AI晶片客戶(如需要定製化互連和封裝)的吸引力,是英特爾2026年的看點。目前英特爾的關鍵並非在製程的追趕上,畢竟18A只有台積電N3水平是明確落後一代的製程。英特爾此時此刻的的生死關鍵是採用18A製程的Panther Lake的銷量,銷量是融合一切的最終結果,性能差銷量自然不會好,性能好銷量自然能好。18A的電晶體密度是落後一代,但依靠英特爾的傳統強項設計端最佳化,如果PPA表現可以超越3nm,追近台積電N2,那Panther lake在銷售市場還是有機會的。但如果採用18A製程的Panther lake銷量拉了,那後續將會是什麼局面?業績無法轉好,虧損持續,無法增加資本支出在製程上加大投入,那追近台積電將更無可能。所以Panther lake的成敗是目前看英特爾的唯一關鍵,沒有其他。三星的“追趕者”策略三星的SF2將在2026年進入風險量產,三星的策略很明確,以更有競爭力的價格和靈活的產能合作模式,吸引除了蘋果、輝達、AMD之外的第二梯隊客戶,例如一些AI初創公司、自動駕駛晶片公司,甚至部分高通訂單。三星在HBM記憶體和先進封裝(如I-Cube、X-Cube)上的垂直整合能力是其賣點。但核心挑戰仍是HPC工藝的穩定性和設計生態(EDA工具、IP庫)的成熟度。2025年三星在先進製程的全球產能佔比約為15%,遠低於台積電的近70%。如果計算代工出貨金額計算,台積電更是以90%以上的絕對資料壟斷全球先進製程的出貨。2026年,這一格局發生扭轉的可能性幾乎沒有,台積電N2量產之後,台積電的市佔率將近一步擴大。1.3 裝置與材料:支撐鐵軌的基石 製程微縮的背後,是裝置與材料的軍備競賽。光刻:High-NA EUV的黎明來了?ASML的0.55 NA EUV光刻機EXE:5200在2025年已向英特爾、台積電等客戶交付。High-NA通過將數值孔徑從0.33提升至0.55,將解析度從13nm提升至8nm,但代價是焦深DOF更淺,對wafer平整度、光刻膠性能、光罩誤差修正MEC和計算光刻OPC提出了地獄級的要求。這也推動了金屬氧化物光刻膠Metal-Oxide Resist和新型抗反射塗層ARC/BARC的研發。High-NA是這兩年ASML的宣傳重點,但從台積電內部瞭解,最快在2029年的A14P少量匯入,2030年的A10才會正式大規模匯入。為什麼呢?因為台積電在2nm以及A14依靠low-NA的多重曝光完全可以解決,要知道台積電被行業稱頌的MMO=DCO的神技,確實可以讓他比競爭對手更不仰賴解析度更高的光刻機。所以在2nm以及A14時代,台積電不需要花接近兩倍的價格,每台4億多美元去買High-NA。這一點我們可以從台積電第一台High-NA的EXE:5200是2025年到貨的來進一步佐證。全新技術的tool必然是先給RD,而台積電研發中心的A10團隊也是2025年進駐,正好用上25年交付的這台EXE5200進行研發。而2023年就已進駐研發中心的A14團隊,整個研發工作都是基於low-NA,所以想在27年就要試生產的A14去用High-NA從時間來看明確來不及。至於製程技術較差,尤其是MMO無法等於DCO的英特爾,想追趕台積電提早匯入High-NA或許是必要的。下一代的英特爾14A必然是全面匯入High-NA,但從英特爾目前的Capex來看,A14大機率跟現在一樣,還是只搞兩萬片產能,如此小的產能對ASML來說只能是苦笑,有總比沒有好。關鍵還是得看每個節點至少12萬片的台積電啥時候採用High-NA,不論英特爾還是三星,對光刻機的交付只能是不無小補的作用。薄膜沉積:未來沉積技術的核心挑戰圍繞著3D結構、原子級精度、更低溫、更高均勻性、更低損傷展開。3D化與高深寬比(HAR)結構:為支撐3D NAND超500或1000層的堆疊、3D DRAM、GAA/CFET邏輯器件,沉積技術需能在極高深寬比(>100:1)的溝槽、孔洞中實現保形Conformal且無缺陷的薄膜生長。原子級精確控制:隨著關鍵尺寸進入亞奈米級,原子層沉積(ALD) 及其變體(如PEALD、Thermal ALD)從“可選項”轉變為必須項,成為實現高介電常數柵極、內間隔層、精確厚度控制的核心方法學。材料創新與組合:應對新器件結構(如GAA、MRAM)和新互連方案(如無阻擋層金屬化),需要開發新的前驅體化學和材料體系(如ALD-Mo用於NAND字線,高k材料如HfO₂,選擇性沉積材料)。電漿體控制與穩定性:無論是PECVD還是PEALD,電漿體的穩定性(避免微電弧、電漿體團等)直接決定了薄膜均勻性、質量和良率,成為沉積工藝真正的瓶頸。刻蝕:未來蝕刻技術的核心挑戰是從經驗藝術走向可預測的工程學,重點是原子級控制、電漿體物理與化學的精確解耦、以及極端3D結構的處理能力。從連續蝕刻到原子層蝕刻 (ALE):傳統反應離子蝕刻(RIE)在3D和原子級尺度下逼近極限。ALE 通過“自限制”的兩步法(表面改性+可控去除)實現原子級精度、更低損傷和對深寬比不敏感的特性,成為先進邏輯(GAA柵極、內間隔層)和儲存(高深寬比結構精修)的關鍵技術。電漿體工程成為核心瓶頸:先進製程的真正瓶頸正從光刻轉向電漿體和射頻(RF)電源工程。蝕刻和沉積都面臨電漿體控制難題,如穩定性、離子/自由基通量解耦、非線性混頻干擾等。3D NAND驅動的高深寬比蝕刻極限挑戰:為實現1000層NAND,通道孔蝕刻需突破深寬比100:1,面臨射頻功率擴展、電弧、輪廓控制(側壁角度>89.96°)、均勻性(晶圓邊緣效應)等系統性挑戰。複雜材料體系的選擇性蝕刻:新型儲存器(MRAM)、GAA中的Si/SiGe超晶格、新互連材料等,需要開發新的選擇性蝕刻機制,包括無電漿體的氣相化學蝕刻(如TEL的COR技術)和離子束蝕刻(IBE)。“人機協同”與多物理場模擬:靠經驗調參數的時代結束。結合AI輔助工藝開發、多物理場模擬(如Lam的VizGlow)和即時感測,實現快速配方開發和工藝視窗預測。量測與檢測:守護良率的眼睛隨著結構複雜化、尺寸微縮,套刻精度(Overlay)、關鍵尺寸(CD)、缺陷檢測的容差越來越小。High-NA EUV: 隨機缺陷率大幅上升,需要“光罩廠+晶圓廠”雙重檢測流程。GAA奈米片: 濕法釋放步驟的殘留缺陷(密度達0.12 cm⁻²)需 1 nm解析度的電子束複檢系統。背面供電: 晶圓減薄至<50 μm後,TSV顯露工藝需 0.1 μm三維精度的形貌+輪廓一體量測。混合鍵合: Pad直徑從>100 μm縮至<5 μm,檢測靈敏度必須從>10 μm提升至 0.15 μm。2.5D中介層: 尺寸超過光罩極限需拼接,拼接縫錯位需 <0.25 μm,依賴套刻量測系統即時量測並動態反饋校正光刻機。未來,更高精度(亞奈米)、更高吞吐量(多束/高速)、更深穿透(3D/埋藏結構檢測)、以及與AI/ML深度結合實現智能閉環控制是量測檢測的發展方向挑戰。2026年的邏輯製程競賽,是台積電鞏固霸權、英特爾奮力一搏、三星伺機搶奪份額的格局。技術焦點從單純的尺寸微縮,轉向器件結構創新(GAA→CFET)、新材料引入、以及光刻、刻蝕、量測等底層工具的極限突破。摩爾定律的延續,越來越像一場耗費數百億美元的精密系統工程。第二篇:產能的戰爭——全球佈局、地緣博弈與成熟製程的“紅海”未完待續,知識星球發佈第三篇:超越平面——先進封裝與光互連的系統級革命未完待續,知識星球發佈第四篇:結論——鐵王座的邏輯與地緣政治的漣漪 (梓豪談芯)
晶圓代工,走向何方?
輝達斥資超過7兆韓元(約合48.6億美元)收購英特爾股份,再次撼動了全球晶圓代工格局。此舉被解讀為輝達正在實現供應鏈多元化的訊號,此前該公司幾乎完全依賴台積電生產人工智慧晶片。隨著台積電正式宣佈2奈米製程工藝量產,三星電子和英特爾也加入競爭,圍繞大型科技公司的晶圓代工競爭正迎來一個重要的轉折點。根據近期行業報告,輝達以每股23.28美元的價格購入了214,776,632股英特爾股票,總投資額達50億美元,約合7.2兆韓元。此次收購使輝達成為英特爾的主要股東,持有約4%的股份。業內人士認為,這筆投資並非簡單的財務決策,而是一項戰略舉措。分析表明,此舉旨在將英特爾的CPU設計技術與輝達的AI能力相結合,同時為未來在晶片生產領域的合作留下空間。目前兩家公司之間尚未簽署任何代工合同。然而,鑑於雙方通過股權投資建立的緊密聯絡,評估認為輝達未來將部分人工智慧晶片生產委託給英特爾的可能性有所增加。尤其值得注意的是,這項投資與美國政府正在進行的“英特爾代工重建”戰略相契合。英特爾已從美國政府獲得57億美元的補貼,並正基於這筆資金準備大規模生產其18A工藝。輝達的這一舉措也符合旨在降低對台積電依賴的供應鏈重組趨勢。隨著人工智慧半導體需求的激增,台積電的先進工藝產能正迅速被大型科技公司的訂單填滿。此外,台灣地區的地緣政治風險以及地震可能造成的生產中斷也加劇了供應鏈多元化的必要性。與此同時,台積電通過量產其2奈米工藝,再次鞏固了其技術領先地位。去年年底,台積電正式宣佈,位於高雄南部南子科技園的Fab 22工廠已開始量產2奈米N2工藝產品。據報導,位於北部新竹科技園寶山Fab 20工廠的量產時間更早。台積電2奈米工藝的核心在於採用比現有工藝更小、更高效的電晶體結構。據評估,該工藝旨在提升性能的同時降低功耗。電源結構也得到了改進,以增強人工智慧計算所需的穩定性和效率。預計產量將從目前的每月5萬片逐步提升。蘋果、AMD和英特爾被認為是首批客戶,輝達隨後採用的可能性也正在增加。這些變化預計將為三星電子帶來機遇和挑戰。這是因為隨著台積電先進製程產能接近極限,三星必須與英特爾競爭日益增長的大型科技公司訂單,市場格局正在形成。今年,三星電子與特斯拉簽署了一份價值23兆韓元的AI晶片供應合同,並成功獲得蘋果iPhone圖像感測器的訂單,這增強了人們對其先進製程的信心。基於2奈米工藝的Exynos 2600移動晶片也有望搭載於明年的Galaxy S26手機中。業內人士評估三星電子2奈米工藝的良率約為50%。一些分析表明,就技術成熟度而言,它領先於仍處於早期階段的英特爾18A工藝。專家指出,“量產能力”是關鍵因素。分析認為,如果三星電子能夠確保良率穩定,而不僅僅是發佈技術公告,它就有可能成為包括輝達在內的大型科技公司客戶的可行替代方案。祥明大學系統半導體工程教授李鐘煥表示:“英特爾的18A工藝在數值上是1.8奈米,但實際上它本質上是2奈米等級的。”他補充道:“關鍵在於量產能力和良率,而不是技術本身。”他評估道:“由於英特爾涉足代工業務的時間並不長,因此很難在實際量產階段確保競爭力。”他還表示:“如果沒有良率保障,就很難承接大型科技公司的訂單。”關於三星電子,他表達了有條件的預期。李教授表示:“三星的2奈米製程目前還不能算是足夠成熟。”但他補充道:“如果三星的2奈米製程能夠實現與台積電持平或更優的量產能力,那麼整個行業格局可能會發生改變。”他指出:“由於2奈米製程目前是最高等級的製程,其良率的穩定必然會吸引輝達等大型科技公司的關注。”他還補充道:“如果Exynos晶片能夠在2奈米製程領域站穩腳跟,將會對代工廠的可靠性和商業可行性都產生積極影響。”他繼續分析道:“這可能是縮小與台積電差距的一個重要轉折點。” (半導體行業觀察)
打不過台積電,怎麼辦?
從最新一份全球晶圓代工市場資料來看,台積電在產業中的位置已經不僅僅是“領先者”,而更像是整個代工體系的核心支點。市場研究機構的統計顯示,2025 年第三季度,全球前十大晶圓代工商合計營收達到 450.86 億美元,較上一季度環比增長 8.1%。在整體需求回暖、各家廠商營收普遍回升的背景下,台積電依舊拿走了其中最重要的一部分:當季營收 330.63 億美元,環比增長 9.3%,市場份額進一步提升至 71%。這一數字本身已經說明問題。超過 70% 的市場份額,意味著台積電一家所覆蓋的產能規模、客戶結構和技術層級,已經遠遠超過其他所有競爭對手的總和。無論從先進製程的推進速度、頭部客戶的集中度,還是資本開支的持續強度來看,台積電在當前代工市場中的優勢都呈現出明顯的“放大效應”。市場整體在增長,但增長最直接、最充分地體現在台積電身上。與之形成對比的是,其他晶圓代工廠商雖然同樣實現了營收增長,卻在份額上難以縮小差距。三星電子仍位居第二,但三季度營收僅為 31.84 億美元,市場份額下降至 6.8%;中芯國際、聯華電子、格羅方德等廠商分列其後,更多是在各自擅長的工藝節點和應用領域中穩步推進。整體來看,代工市場正在呈現出一種清晰的結構:台積電不斷向上擴張,而其他廠商則被迫在不同層級、不同賽道中尋找位置。也正是在這樣的背景下,一個值得關注的問題逐漸浮現——當台積電持續加快先進製程量產節奏,並通過美國、日本等地的佈局進一步鞏固其全球製造網路時,那些在正面競爭中逐漸拉開距離的“手下敗將”,正在如何調整各自的戰略?是繼續投入高風險、高資本消耗的先進製程競賽,還是選擇成熟工藝、特色製程、區域市場或特定客戶群體,建構差異化的生存邏輯?英特爾:押注先進技術與生態重構在這些追趕者中,英特爾的轉型動作最為激進,也最具系統性。作為曾經的半導體霸主,英特爾在製程技術上的落後一度讓外界質疑其代工業務的前景。但從2024年底到2025年,英特爾展現出的戰略調整清晰而堅決:不是放棄先進製程競爭,而是通過技術突破、客戶爭取和生態整合,試圖在特定領域重新建立競爭力。先進製程:14A與High-NA EUV的技術豪賭英特爾晶圓代工的核心籌碼是其14A製程節點。這一節點被定位為對外部客戶極具競爭力的選擇,預計在功耗效率和晶片密度方面實現顯著提升。更關鍵的是,14A將成為全球首個在關鍵層採用高數值孔徑(High-NA)EUV光刻技術的製造節點。英特爾已安裝ASML的Twinscan EXE:5200B,這是業界首款採用0.55數值孔徑投影光學系統的High-NA光刻裝置。該裝置能夠以8nm的解析度列印晶片,在50 mJ/cm²的劑量下每小時可處理175片晶圓,並實現0.7奈米的套刻精度。相比之下,台積電和三星雖然也在測試High-NA裝置的研發版本,但尚未將其用於商業規模生產。這意味著英特爾在這一代光刻技術的應用上,率先完成了從實驗室到生產線的跨越。英特爾表示,High-NA工具將帶來更靈活的設計規則,減少光刻步驟,降低掩模數量,縮短周期時間,並提高良率。隨著該公司不斷積累量產經驗,未來在1nm以下時代還能根據需要插入High-NA EUV多重曝光,而不會對良率產生顯著影響。這種技術路徑的前瞻性佈局,正是英特爾試圖在下一輪製程競爭中佔據主動的關鍵。先進封裝:EMIB技術的突圍機會在先進製程之外,英特爾找到了另一個突破口——先進封裝。隨著台積電CoWoS封裝產能長期緊張,英特爾的EMIB(嵌入式多晶片互連橋)技術正逐漸成為可行的替代方案。EMIB是業界首個採用嵌入式橋接技術的2.5D互連解決方案,自2017年起已實現量產。與使用矽中介層作為基板的CoWoS不同,EMIB採用局部嵌入式橋接,提供更高的成本效益和更大的設計靈活性,非常適合定製ASIC、晶片組和AI推理處理器。報導顯示,蘋果和博通正在招募具備EMIB技術專長的工程師,蘋果甚至在考慮採用英特爾的EMIB封裝技術來開發定製伺服器加速器Baltra,此前該項目原本與台積電的N3工藝相關,但由於CoWoS產能有限而轉向英特爾。英特爾還在不斷擴展EMIB產品線。新型EMIB-M將金屬-絕緣體-金屬(MIM)電容器直接整合到矽橋中,提升供電性能;EMIB-T解決方案引入矽通孔(TSV)技術,滿足HBM對低噪聲垂直供電的需求。此外,英特爾還將EMIB與Foveros 2.5D和Foveros Direct 3D結合,建立了EMIB 3.5D混合架構。英特爾晶圓代工封裝與測試副總裁表示,公司正在努力緩解先進封裝晶片短缺的局面,其優勢在於不受產能限制。據報導,AWS和聯發科等晶片設計公司正在選擇英特爾晶圓代工作為供應商。英特爾在美國本土擁有先進的封裝能力,從新墨西哥州的Fab 9和Fab 11x工廠到俄亥俄州未來可能投產的生產線,這種本土生產賦予英特爾的戰略影響力遠超成本優勢。客戶爭取:從蘋果到輝達的多線進攻英特爾晶圓代工最引人注目的進展,是其在爭取頭部客戶方面取得的實質性突破。知名分析師郭明錤的調查顯示,蘋果已與英特爾簽署保密協議,採購英特爾的18A-P PDK 0.9.1GA晶片。蘋果目前正在等待英特爾交付PDK 1.0/1.1套件,預計將於2026年第一季度到貨。如果一切順利,英特爾最早可能在2027年第二或第三季度開始交付基於18A-P先進工藝節點的蘋果入門級M系列處理器。18A-P工藝是英特爾首個支援Foveros Direct 3D混合鍵合技術的節點,針對各種功率/電壓範圍進行了精細調校,最佳化了閾值電壓以更好地平衡能效。據估計,到2027年,蘋果用於MacBook和iPad的入門級M系列晶片產量可能達到1500萬至2000萬顆。這不僅意味著巨大的產能貢獻,也像征著英特爾在高端移動晶片市場重新獲得認可。更廣泛的客戶拓展也在同步進行。據報導,輝達和AMD正在評估英特爾晶圓代工的14A製程節點。英特爾副總裁證實,一些先進封裝客戶取得了良好成果,客戶主動聯絡英特爾是溢出效應的結果,公司目前正在進行戰略對話。18A-P工藝在PDK方面已相當成熟,英特爾將重新與外部客戶接洽,以評估他們的興趣。ASIC業務:從IDM到系統代工的轉型英特爾的另一個重要戰略調整是設立專用ASIC部門。該部門由Srini Iyengar領導,隸屬於中央工程集團(CEG)。英特爾首席執行長陳立武(Lip-Bu Tan)在第三季度財報電話會議上表示:“CEG團隊將牽頭建構我們全新的ASIC和設計服務業務,為廣泛的外部客戶提供定製晶片。這不僅將擴展我們核心x86 IP的應用範圍,還將利用我們的設計優勢,提供從通用計算到固定功能計算的一系列解決方案。”這一戰略的邏輯在於,英特爾擁有晶片技術專長、x86 IP以及提供製造服務的內部代工廠,尋求定製AI晶片的客戶可以獲得滿足所有需求的“一站式”服務。這是市場上其他ASIC設計商都無法提供的優勢,即使是博通、Marvell或Alchip也不例外,因為英特爾的代工廠服務正是其區別於其他公司的關鍵所在。借助CEG集團,英特爾實現了橫向工程的集中化,這意味著將設計服務與製造和封裝相結合的成本已大幅降低。英特爾副總裁表示,公司在定製網路ASIC晶片領域擁有蓬勃發展的業務,已經獲得了眾多智能網路卡ASIC晶片的客戶。這些晶片專為網路密集型工作負載而設計,例如網路封包處理、遙測、流量管理等。值得一提的是,陳立武在推動定製晶片商業模式方面有著深厚的經驗,他在Cadence公司擔任要職期間,一直致力於智慧財產權業務、設計工具、設計生態系統合作以及定製晶片的垂直市場。他的經驗和市場人脈將助力英特爾更好地把握ASIC熱潮。併購整合:收購SambaNova補齊AI拼圖為了進一步強化AI領域的競爭力,英特爾正就收購人工智慧晶片初創企業SambaNova Systems進行深入談判,此次收購包含債務在內的總估值約為16億美元。SambaNova於2017年由史丹佛大學的教授團隊創立,專注於定製化人工智慧晶片的設計研發,目標是與輝達的同類產品展開競爭。值得注意的是,英特爾首席執行長陳立武同時擔任SambaNova的董事長,他旗下的風險投資公司華登國際是SambaNova的創始投資方之一,並曾在2018年牽頭完成了該公司規模達5600萬美元的A輪融資。以16億美元的價格收購SambaNova,將為英特爾帶來其長期尋求的技術平台,助力完善自身人工智慧產品佈局,且此次收購價格相比該公司2021年50億美元的估值存在明顯折價。三星:2nm製程的背水一戰如果說英特爾的策略是多點開花、尋求技術突破,那麼三星電子則是把所有籌碼壓在了2nm製程的大規模量產上。作為全球第二大晶圓代工廠,三星在3nm製程競賽中屢敗於台積電,連續數年每季度虧損數兆韓元。但從2024年底到2025年,三星的2nm業務開始顯現轉機,成為其晶圓代工部門扭虧為盈的關鍵變數。良率提升:從50%到70%的關鍵跨越三星2nm製程採用全環柵(GAA)電晶體架構,這是三星在3nm製程中率先引入的技術。與傳統的鰭式場效應電晶體(FinFET)設計相比,GAA技術最大限度地減少了電流洩漏,並顯著提高了性能和電源效率。關鍵的是,三星從3nm開始採用GAA,而台積電將從2nm才開始應用。這意味著三星在克服3nm工藝挑戰的同時,積累了多樣化的GAA經驗,與剛剛開始採用這項新技術的台積電處於不同的位置。根據韓國媒體報導,三星2nm製程的良率已經從9月份的50%提升到11月的50%至60%,目標是在年底或2026年初將生產良率提高到70%左右,以吸引大客戶。市場研究公司Counterpoint Research預測,三星的2nm產能將增加163%,從2024年的每月8000片晶圓增加到2026年底的21000片晶圓。三星DS部門首席技術官(CTO)兼總裁宋在赫在與總統政策秘書室長金容範的會談中強調,隨著人工智慧投資熱潮推動半導體需求進入景氣周期,2nm製程將成為一個關鍵的轉折點。熟悉三星的消息人士表示:“距離2nm全面量產已不遠,這番言論被解讀為三星計畫中的2nm工藝良率和晶片性能正在穩步達到目標。”客戶突破:從特斯拉到高通的訂單斬獲隨著良率的提升,三星已成功吸引到主要客戶採用其先進工藝。2024年7月,三星獲得了與特斯拉的合同,價值165億美元,用於生產下一代AI6晶片。AI6是一款採用2nm工藝量產的高性能晶片,將用於特斯拉的全自動駕駛(FSD)系統,預計將於2027年內上市。特斯拉首席執行長埃隆·馬斯克也確認,三星將代工部分AI5晶片系列,該系列晶片最初計畫由台積電代工。除了特斯拉,三星還接到了多個重要訂單:三星系統LSI內部的智慧型手機應用處理器Exynos 2600、蘋果的圖像感測器,以及MicroBT和Canaan的挖礦專用晶片(ASICs)。高通的應用處理器也有望跟進,傳聞高通的第六代驍龍8至尊版將會有基於三星2nm代工的版本。最近,據悉,由著名晶片架構師Jim Keller領導的AI半導體公司Tenstorrent也在考慮與三星和台積電合作生產下一代晶片。此外,三星還贏得了美國AI半導體初創公司Tsavorite Scalable Intelligence、Anaphae以及韓國初創公司DeepX的生產合同。據報導,Tsavorite已向三星預訂了價值約1500億韓元(約合1000億美元)的AI晶片,採用三星4nm工藝。汽車市場:從特斯拉到現代的全方位佈局三星晶圓代工的另一個重要突破口是汽車半導體市場。除了特斯拉的AI6晶片,三星正準備為現代汽車公司量產8nm MCU(微控製器單元),該公司計畫在2028年完成研發,並在2030年開始量產。三星晶圓代工極有可能贏得現代汽車高端車型5nm自動駕駛晶片的合同。更值得關注的是,三星晶圓代工將向現代汽車供應採用14nm FinFET工藝量產的eMRAM(嵌入式磁性隨機存取儲存器)。eMRAM是一種利用直接嵌入半導體內部的磁性來儲存資料的儲存半導體,速度大約是NAND的1000倍,但低功耗特性正在推動汽車行業對其需求的增長。三星計畫在2026年將其eMRAM產品組合擴展到8nm,並在2027年擴展到5nm。通過這種方式,三星代工廠獲得了大多數工藝的汽車晶片參考標準,包括超精細工藝(2nm)、精細工藝(5nm和8nm)以及成熟工藝(14nm)。這種全方位的佈局,使三星在汽車半導體代工領域建立了顯著的競爭優勢。矽光子技術:挑戰台積電的新戰場三星的戰略佈局不僅限於傳統晶圓代工,還包括對下一代技術的前瞻性投入。三星電子DS事業部已將矽光子學選為未來的核心技術,並開始為其位於新加坡的專屬研發中心招募經驗豐富的專家。該新加坡研發中心由副總裁兼前台積電員工崔景建領導,正與總部技術開發辦公室緊密合作,共同推進這項技術的發展。矽光子技術以光傳輸資料,成為資料中心、高效能運算及網路基礎設施在超高頻寬、低延遲及高能源效率的解決方案。與將資料資訊儲存在銅線上的傳統半導體不同,矽光子技術將資訊封裝在光中,然後通過光纖傳輸,幾乎沒有電阻,能夠實現更快的傳輸速度,還能顯著降低發熱量和功耗。三星正調動其遍佈韓國、新加坡、印度、美國和日本的全球研發網路,致力於矽光子技術的研發。三星近期將負責矽光子技術研發的高級主管李康浩晉陞為副總裁,並聘請了英特爾前首席產品官研究員朴賢大。三星還與人工智慧半導體設計公司博通合作,共同推進矽光子技術的商業化。三星宣佈CPO(共封裝光學器件)的商業化日期為2027年。業內人士預計,矽光子技術是贏得更多大型晶圓代工客戶的關鍵,這可能是一張反擊王牌,能夠扭轉三星目前在2.5D和3D等尖端封裝市場落後於台積電的局面。市場研究公司Modo Intelligence預測,到2030年,矽光子市場規模將增長至103億美元。High-NA EUV:追趕台積電的裝置競賽在先進光刻裝置方面,三星也在積極追趕。三星電子計畫在2026年上半年前投資約1.1兆韓元,引進兩台最新的極紫外(EUV)曝光裝置——荷蘭阿斯麥公司的“高數值孔徑(NA)EUV”。該裝置能夠繪製比現有產品精細1.7倍的電路,每套裝置成本約為5500億韓元。三星計畫在其2nm晶圓生產線上部署新機器,該生產線已在生產Exynos 2600應用處理器。三星還計畫使用最新的EUV裝置在2nm晶圓生產線上生產和供應特斯拉的下一代人工智慧晶片。該工具還將支援三星未來的垂直通道電晶體(VCT)DRAM的生產,這是一種高性能、低功耗的記憶體晶片,計畫於2027年左右量產。據悉,三星董事長李在鎔對High-NA EUV的興趣始於2023年12月,當時他訪問了位於荷蘭的ASML總部。李在鎔對EUV實現2nm以下晶片生產的潛力印象深刻,因此指示工程師加快開發相容的工藝技術。盈利預期:2027年的轉折點行業預計,多年來一直錄得季度數百億韓元虧損的三星代工業務將從2027年開始扭虧為盈。這得益於其奧斯汀工廠的開工率預期提高,以及泰勒工廠從2027年開始大規模量產特斯拉的AI6晶片。三星在其第三季度財報簡報中表示:“我們獲得了以尖端工藝為中心的創紀錄訂單,包括2nm的大規模客戶合同。隨著採用2nm工藝的新產品全面量產,我們預計通過持續提高開工率和實施成本效率措施,業績將進一步改善。”Counterpoint Research表示:“如果良率持續改善,並且泰勒工廠的量產順利進行,三星可能在數代以來首次在尖端工藝上有意義地縮小與台積電的競爭差距。”目前,台積電面臨著輝達和蘋果等主要客戶的訂單集中,據報導將其2nm晶圓價格比前幾代提高了50%。這為三星創造了一個可以搶佔的利基市場,後者正利用靈活的定價策略來吸引客戶。聯電:成熟製程的差異化突圍與英特爾和三星不同,聯華電子(聯電)很早就明確了自己的戰略定位:不參與高風險、高資本消耗的先進製程競賽,而是在成熟製程的基礎上,通過特殊工藝、先進封裝和矽光子等高附加價值應用,開闢新的增長空間。面對中國大陸晶圓廠在成熟製程市場的激烈競爭,聯電的策略是避開價格戰,轉向技術壁壘更高、毛利更豐厚的細分領域。先進封裝:高通訂單的戰略意義目前,聯電已經在先進封裝領域取得了實質性突破。聯電自行開發的高階中介層(Interposer)已獲得高通的電性驗證,並已進入試產流程,預估最快2026年第一季度量產。供應鏈透露,聯電首批中介層電容密度達1500nF/mm²,技術水準屬於高階封裝主流,高通更直接採購爐管機台放入聯電廠房,顯示雙方合作深度與信任度非比尋常。這一合作涵蓋AI PC、智能汽車與AI伺服器等三大市場,強化聯電在高速運算領域的地位。聯電同步擴大海外封裝佈局,新加坡廠已投入2.5D製程,並具備晶圓對晶圓(Wafer-to-Wafer)鍵合技術,這是3D IC製造的關鍵能力。聯電強調,未來將以完整方案為策略,不僅提供晶圓製程,也整合封裝平台,打造屬於聯電的先進封裝生態系,並結合智原、矽統、華邦等轉投資夥伴,提高系統性競爭力。業界人士指出,聯電佈局先進封裝,先前在製程端僅供應中介層,應用在RFSOI製程,對營收貢獻有限。隨著高通採用聯電先進封裝製程打造高速運算晶片,近期雙方合作又進一步發展,對聯電來說將能降低在成熟製程的低價競爭,闖出一條不同之路。矽光子:與IMEC合作搶佔新賽道聯電的另一個重要佈局是矽光子領域。聯電與比利時微電子研究中心(IMEC)簽署技術授權協議,取得IMEC iSiPP300矽光子製程,該製程具備共封裝光學(CPO)相容性。藉由此次授權合作,聯電將推出12英吋矽光子平台,瞄準下世代高速連接應用市場。隨著AI資料負載日益增加,傳統銅互連面臨瓶頸,矽光子技術以光傳輸資料,成為資料中心、高效能運算及網路基礎設施在超高頻寬、低延遲及高能源效率的解決方案。聯電將結合IMEC經驗證的12英吋矽光子製程技術、加上聯電絕緣層上覆矽(SOI)晶圓製程,為客戶提供高度可擴展的光子晶片(PIC)平台。聯電資深副總經理指出,取得IMEC最先進的矽光子製程技術授權,將加速聯電12英吋矽光子平台的發展處理程序。聯電正與多家新客戶合作,預計在2026及2027年展開風險試產。此外,聯電未來系統架構將朝CPO與光學I/O等更高整合度的方向邁進,提供資料中心內部及跨資料中心需要的高頻寬、低能耗且高度可擴展的光互連應用解決方案。業界分析,IMEC是全球微電子與奈米技術研究重鎮,長年與台積電、英特爾等科技巨頭合作,其矽光子研究位居世界第一梯隊。聯電此次攜手IMEC揮軍矽光子,有三大優勢:首先是聯電不用從零開始摸索矽光子元件設計規則,能直接取得IMEC的光子PDK、聯合開發驗證;其次是大幅縮短商業化量產時間;最後則是與國際大客戶的技術對接更順暢。據瞭解,輝達將在今年推出的新世代Rubin架構起,大量在AI伺服器匯入矽光子及CPO技術。法人認為,聯電揮軍矽光子,有助進一步打入AI伺服器、資料中心等核心供應鏈,若2027年如期放量,聯電將成為全球CPO供應鏈中不可或缺的晶圓製造要角。美國本土製造:與Polar的戰略合作聯電還在積極拓展美國本土製造能力。聯電宣佈,已與專攻高壓、功率及感測器的美國晶圓代工廠Polar Semiconductor簽署合作備忘錄(MOU),雙方將展開洽談,共同探索在美國本土8英吋晶圓製造的合作機會,以應對汽車、資料中心、消費電子,以及航空與國防等關鍵產業持續成長的需求。根據該諒解備忘錄,Polar與聯電將評估可在Polar近期擴建的明尼蘇達州8英吋晶圓廠所生產的產品,並選定具體的生產項目。結合Polar穩健的製造能力,與聯電完整的8英吋晶圓製造技術組合及全球客戶基礎,這項合作可望推動雙方業務成長,並協助客戶實現多元製造佈局。此外,此合作也將進一步強化美國本土的8英吋晶圓製造產能,確保汽車、電網、機器人製造、資料中心等產業所需的關鍵功率半導體能夠在美國穩定供應。聯電全球業務資深副總經理指出:“聯電致力通過多元的製程技術及全球佈局,為客戶提供彈性的供應鏈選擇,以協助客戶在現今的地緣政治環境中提升競爭力。這次合作不僅直接回應客戶對美國本土半導體製造方案的需求,也展現聯電以創新解決方案和雙贏的合作模式,延續我們為客戶創造價值的一貫承諾。”製程探索:與英特爾的潛在合作儘管聯電明確表示不會全面進軍先進製程,但市場傳出,聯電正考慮擴大與英特爾之間的合作夥伴關係,可能選擇在原有12nm製程合作基礎上,將製程提升至6nm製程。對此,聯電表示不評論市場消息,但強調雙方在12nm鰭式場效電晶體(FinFET)合作將按規劃,於2027年匯入量產,具備AI、物聯網與車用等高增長領域的應用優勢。聯電董事長指出,12nm FinFET製程技術平台(12FFC)相較於14nm技術(14FFC),晶片尺寸更小、功耗更低,性能大幅提升,充分發揮FinFET的優勢,可廣泛應用於各種半導體產品。與14FFC相比,12nm技術在最佳化的FinFET裝置下,可實現10%的性能提升,透過降低電壓減少20%功耗,採用六走線軌道設計,使面積減少超過10%,並節省三層光罩,進一步增強聯電成本競爭力。法人認為,即便聯電不跨進先進製程,該公司在矽光子與先進封裝等領域多元佈局,有助在成熟製程紅海中開創新藍海。格羅方德:區域化與特色工藝的守成者格羅方德(GlobalFoundries)作為全球第五大晶圓代工廠,很早就放棄了先進製程的競爭,轉而專注於成熟製程和特色工藝。但在2024年底到2025年,格羅方德的戰略重心更加清晰:通過區域化佈局、矽光子技術和IP整合,在特定市場和應用領域建立不可替代的地位。矽光子佈局:收購AMF擴大領先優勢2024年11月17日,格羅方德宣佈收購位於新加坡的矽光子晶圓代工廠Advanced Micro Foundry(AMF)。此舉標誌著格羅方德在推進創新和鞏固其矽光子領域領先地位的戰略中邁出了關鍵一步。此次收購將擴展格羅方德在新加坡的矽光子技術組合、產能和研發能力,與其在美國的現有技術形成互補。格羅方德收購AMF,將AMF的製造資產、豐富的智慧財產權和專業人才整合在一起,顯著擴展格羅方德的矽光子技術,並使格羅方德成為全球營收最高的純矽光子晶圓代工廠。憑藉AMF超過15年的製造經驗,格羅方德將利用AMF位於新加坡的200mm平台,滿足長距離光通訊、計算、雷射雷達和感測等領域的需求,並計畫隨著市場需求的增長擴展至300mm平台。格羅方德首席執行長表示:“矽光子技術對人工智慧基礎設施至關重要。隨著資料傳輸速度加快、工作負載日益複雜,以更高的速度、精度和能效傳輸資訊的能力如今已成為人工智慧資料中心和先進電信網路的基礎。收購AMF使格羅方德能夠提供更全面、更具差異化的十年可插拔收發器和共封裝光器件發展路線圖,同時加速光子技術向汽車和量子計算等相鄰市場的發展。”為配合此次收購,格羅方德計畫在新加坡建立一個矽光子學研發卓越中心(CoE)。該中心將與新加坡科技研究局合作,專注於研發用於400Gbps超高速資料傳輸的下一代材料,從而推進格羅方德的創新路線圖。IP整合:收購MIPS強化計算能力值得注意的是,格羅方德今年還正式宣佈計畫收購MIPS,這家公司提供基於開源RISC-V指令集架構的處理器IP。格羅方德重申其純代工廠的定位,強調此次收購並不意味著將轉向晶片設計或銷售,其真正目的是通過提供現成可用的IP模組,幫助客戶——尤其是初次涉足晶片開發或希望實現垂直整合的企業——簡化系統設計流程。格羅方德企業傳播總監表示:“收購MIPS將增強格羅方德為客戶提供更完整、更具差異化解決方案的能力。通過整合MIPS成熟的RISC-V處理器IP及軟體工具,格羅方德客戶將受益於更廣泛的計算IP訪問、更短的上市周期、更大的靈活性與開放性,以及面向高增長市場的更優技術。這一舉措將使格羅方德不僅是製造合作夥伴,更成為提供基礎計算技術的戰略夥伴。”公司計畫持續支援MIPS基於開源RISC-V架構的處理器核心產品組合,以滿足各類計算需求,從而拓展格羅方德在新市場和新應用領域的服務能力。這涵蓋了用於自動化平台、嵌入式系統和智能邊緣裝置的計算子系統。除了邊緣AI應用外,格羅方德與MIPS的協同能力也非常適合在車載、物聯網和資料中心基礎設施等高增長領域發揮作用。儘管MIPS將成為格羅方德的子公司並向其客戶提供IP產品,但MIPS將繼續獨立營運,維持現有客戶關係並繼續推進當前項目與合作協議。此外,MIPS也將繼續與其他晶圓廠合作。歐洲擴產:德累斯頓工廠的戰略升級今年11月,格羅方德宣佈,計畫投資11億歐元,擴大其位於德國德累斯頓工廠的產能。這項投資將使該工廠的產能到2028年底提升至每年超過100萬片晶圓,使其成為歐洲同類工廠中規模最大的工廠。這項名為"SPRINT"的擴建計畫預計將得到德國聯邦政府和薩克森州在《歐洲晶片法案》框架下的支援。作為項目的一部分,該設施將進行升級,以提供端到端的歐洲流程和資料流,滿足關鍵的半導體安全要求。新的產能將專注於格羅方德高度差異化的技術——其關鍵性能特性包括低功耗、嵌入式安全記憶體和無線連接——這些特性對於滿足歐洲在汽車、物聯網、國防和關鍵基礎設施應用領域的晶片需求至關重要。格羅方德首席執行長表示:“近期汽車行業的動盪凸顯了全球晶片供應鏈的脆弱性。我們計畫在德累斯頓進行擴張,這是格羅方德積極應對這些挑戰並履行承諾的又一舉措,旨在支援歐洲對安全供應鏈和差異化技術的需求。通過擴大我們在歐洲、美國和全球的製造業務,格羅方德正在鞏固其作為關鍵行業客戶韌性十足且值得信賴的合作夥伴的地位。”中國市場:技術授權的務實路線在先進製程受限的大背景下,特色工藝的技術轉移成為了格羅方德與中國廠商合作的新模式。2025年8月,格羅方德宣佈與一家中國本土代工廠達成最終協議,為中國客戶提供車規級工藝與製造專長,不過格羅方德並未公開合作方。這種合作模式的優勢在於:相比先進邏輯製程,特色工藝更注重應用導向和工藝最佳化,技術壁壘相對較低,但應用門檻較高。通過技術授權,國內廠商可直接獲得成熟的工藝平台,無需漫長的研發周期即可投入量產,從而縮短產品上市時間、降低開發成本,並增強本地供應鏈韌性。對於格羅方德而言,在先進製程競爭中處於劣勢的情況下,通過技術授權可以實現技術價值的最大化。對於中國廠商而言,這種合作模式能夠快速提升在射頻、功率、車規晶片等特色工藝領域的技術能力。結語:差異化生存的多重路徑從英特爾、三星、聯電到格羅方德的戰略調整來看,晶圓代工市場正在形成一種新的競爭格局:台積電繼續在先進製程和頭部客戶中佔據絕對優勢,而其他廠商則在各自選擇的細分賽道中尋找生存空間。英特爾押注先進製程與先進封裝的雙輪驅動,試圖通過技術突破和生態整合重新建立競爭力;三星將大部分籌碼壓在2nm製程的大規模量產上,期待在下一輪技術周期中實現反超;聯電專注於成熟製程的差異化升級,通過先進封裝和矽光子等高附加價值應用避開價格戰;格羅方德則堅守特色工藝和區域化佈局,在特定市場和應用領域建立不可替代的地位。這些戰略調整的共同特點是:不再試圖在所有維度上與台積電正面競爭,而是根據自身的技術積累、客戶基礎和資源稟賦,選擇最適合自己的發展路徑。在先進封裝、矽光子、ASIC設計服務、區域化製造等新興領域,這些“手下敗將”正在尋找新的增長機會。 (半導體行業觀察)
8吋GaN量產時代開啟!致能半導體攜手頂級Fab實現全球首演
2025年11月,中國半導體產業迎來歷史性突破。廣東致能半導體有限公司與國際頂尖8吋晶圓代工廠達成策略合作,成功實現全球首個8吋藍寶石基氮化鎵功率元件的規模化量產。這項里程碑事件不僅標誌著第三代半導體製造技術邁入全新階段,更意味著氮化鎵功率元件從實驗室走向產業化的關鍵跨越已經完成。圖片來源於:百度圖庫技術縱深:創新工藝建構全面領先優勢致能半導體選擇8吋藍寶石基技術路線體現了深刻的技術洞察力。藍寶石基板與氮化鎵材料之間優異的晶格匹配特性,為高品質外延生長奠定了物理基礎。該公司研發團隊透過自主創新的"多區動態溫控MOCVD技術",在8英寸大尺寸襯底上實現了厚度均勻性達±1.5%的氮化鎵外延層生長,這一指標達到國際領先水平。特別值得關注的是其垂直通道元件結構設計,與傳統橫向結構相比,大幅提升了電流密度和功率處理能力。在製造流程方面,該公司開發的氮化鋁/氧化鋁疊層柵極介質技術,顯著增強了裝置的可靠性和穩定性,為規模化量產提供了堅實保障。核心指標:量化優勢彰顯產業競爭力在晶片整合度方面,採用垂直通道設計的裝置在同等規格下,晶片面積比主流技術縮小約30%,使得單晶圓晶片產出數量提升70%。成本控制上,8吋平台較6吋平台的單位面積製造成本降低35%,結合藍寶石基板的性價比優勢,綜合成本比碳化矽基方案降低40%以上。電氣性能表現特別突出,實測數據顯示其650V裝置的導通電阻低至45mΩ·cm²,品質因數優化25%,在1MHz高頻開關下仍保持93%以上的轉換效率。製造一致性方面,晶圓間關鍵參數波動小於3%,首批量產良率達92%,完全滿足汽車電子等高階應用需求。產業影響:重建功率半導體市場格局此次量產突破將引發產業鏈的連鎖反應。在上游環節,8吋GaN製造設備與材料供應鏈將迎來新的發展機會;在下游應用端,消費性電子快充領域將率先受益,預計基於該技術的100W充電器功率密度可達2.5W/cm³。更值得期待的是在新能源汽車領域的應用前景,裝置的高可靠性使其有望率先進入車載充電系統,並逐步向主驅逆變器等核心部件滲透。工業控制、資料中心電源等市場也將因此獲得更高效率的解決方案。市場分析顯示,這項技術預計在未來三年內佔據中功率GaN裝置市場30%以上的份額。未來版面:持續創新引領產業發展致能半導體已製訂清晰的產能擴張路線,並計畫在2027年實現月產5萬片8吋晶圓的產能目標。技術演進方面,公司正在研發1200V以上電壓等級的裝置產品,瞄準新能源汽車主驅逆變器等更高階應用場景。同時,公司積極建構產業生態,與多家電源廠商及整車企業建立聯合實驗室,推動應用方案創新。國際市場佈局也正在加速推進,並計劃在歐洲和北美設立技術支援中心,為全球客戶提供在地化服務。公司創辦人表示,未來將繼續加大研發投入,在保持技術領先的同時,推動氮化鎵功率裝置的成本進一步優化。產業展望:第三代半導體迎來黃金發展期隨著8吋量產技術的成熟,氮化鎵功率半導體產業正迎來關鍵轉捩點。業界人士分析,這項突破將加速GaN技術在各應用領域的滲透速度,預計2028年,採用藍寶石基技術的功率元件將佔據GaN功率市場40%的份額。從更廣闊的視野來看,這不僅是一家企業的技術突破,更是中國在第三代半導體領域實現從跟跑到並跑乃至領先的重要標誌。在全球能源轉型和數位化進程加速的背景下,高效率、高功率密度的氮化鎵技術將成為推動社會永續發展的重要力量,而致能半導體此次的產業化突破,無疑為這場技術革命注入了強勁動力。(半導體材料與製程設備)
調研:AI 與先進封裝推動全球晶圓代工市場持續增長
隨著 AI 浪潮推動全球半導體業,晶圓代工正邁入 Foundry 2.0 新時代,由晶圓代工廠、IDM 及封測業者共同構成的高整合供應鏈正逐漸成形。研調機構 Counterpoint 指出,AI 運算與旗艦智慧型手機需求的強勁成長,正在推動先進製程與封裝同步升級,也帶動產業邁向更高獲利的結構。根據 Counterpoint Research 最新報告,3 奈米與 4/5 奈米製程在第三季持續供不應求,主要受 AI 加速器與高階手機帶動;先進封裝需求也保持強勁,CoWoS 與 SoIC 技術成為市場焦點。相對地,中階與成熟製程需求略有放緩,利用率回落至 75%至 80%。晶圓代工業者部分,由台積電持續領跑,2025 年第三季營收達 331 億美元,高於原先預期。同時,台積電也積極擴充 CoWoS-L 產能,預計 2026 年底將達每月 10 萬片晶圓,以支援NVIDIA GPU 及 Google、AWS、Meta 等 AI 加速器需求。至於三星和英特爾則持續推進 Foundry 2.0 策略,但拓展客戶基礎方面仍處於發展階段。目前英特爾 18A 製程已匯入 Panther Lake 平台,並將於 2026 年啟動客戶代工服務,並調整為「以客戶承諾為導向」的產能策略,確保擴產與實際需求緊密連結;三星電子先進製程稼動率持續提升,以 2 奈米晶片出貨成長為主要動能,未來表現將取決於 2 奈米技術穩定性及與特斯拉合作成果,藉此鞏固先進製程佈局。封裝大廠日月光第三季營收估達 50 億美元、年增 9%,主要受惠台積電 CoWoS-S 外溢訂單與 AI、高階移動封裝需求,以及 AI 加速器與智能型手機 SoC 採用 2.5D 與 3D 封裝技術,因而持續成長。Counterpoint Research 資深分析師 William Li 表示,2025 年第三季是全球晶圓代工產業邁向 Foundry 2.0 的重要里程碑。隨著 AI 與高效能運算(HPC)需求持續強勁,先進製程與封裝的發展將深度融合,推動資料中心、消費電子與智能系統的新一波半導體創新浪潮。 (芯聞眼)
台積電市佔,首超70%
台灣台積電持續保持其在全球晶圓代工(半導體代工)市場的壓倒性優勢,市場份額不斷擴大。三星電子保持第二的位置,但市佔率卻下降了1個百分點。根據市場研究公司Counterpoint Research 10月10日公佈的數據,今年第二季度,台積電佔純晶圓代工市場71%的份額,位居第一。台積電的市佔率較上一季(68%)上升了3個百分點,與去年同期(65%)相比,一年內上升了6個百分點。今年第二季度,純晶圓代工市場整體市場銷售額較去年同期成長33%,這得益於人工智慧(AI)產業擴張帶來的半導體需求成長,以及中國的補貼政策。據解讀,台積電吸收了大部分新增的市場銷售量。Counterpoint Research 解釋說:“台積電在2025 年第二季度的純晶圓代工市場中佔據了71% 的市場份額”,並補充說,這“得益於3 奈米(奈米,十億分之一米)工藝的量產擴展、滿足AI 圖形處理器(GPU) 需求的4 奈米和5 奈米利用率是晶圓上晶片(chip-on-wafer-on-substrate) 的縮寫,是台積電開發的一種先進封裝技術。三星電子以8%的市佔率位居第二。然而,其市佔率較第一季下降了1個百分點,較去年同期下降了2個百分點。 Counterpoint Research表示:“由於智慧型手機和其他消費設備的複蘇,三星電子保持了市場份額第二的位置。”中芯國際排名第三,市佔率5%,較上一季下降1個百分點。中芯國際繼續受益於中國政府補貼政策,預計將向更先進的工藝過渡。台灣聯華電子(UMC)排名第四(5%),其次是美國格芯(GlobalFoundries),排名第五(4%)。 Counterpoint Research預測:“2025年下半年,晶圓代工企業先進製程的利用率和整體晶圓出貨量預計將持續提升。”英特爾2nm實現反超英特爾在下一代晶片競賽中超越三星電子和台灣台積電,宣佈量產1.8奈米晶片,加劇了全球最先進晶片代工領域的競爭。這家晶片巨頭周四發布了其Panther Lake CPU架構,這是其首款基於18A工藝節點構建的AI PC平台,該架構將於今年晚些時候應用於筆記型電腦。據英特爾公司稱,這款新晶片將在位於亞利桑那州的Ocotillo Fab 52工廠生產,並將以英特爾酷睿Ultra系列3的品牌推出。英特爾的意外亮相正值晶圓代工巨頭台積電和三星準備在今年稍後推出各自的2奈米級產品之際。此前,只有這兩家亞洲晶片製造商能夠生產採用5奈米以下製程的晶片。這項消息標誌著全球首次正式量產2奈米級晶片,被視為英特爾的重大回歸,近年來,英特爾在先進晶片製造領域一直落後於亞洲競爭對手。業界專家對英特爾的代工計畫仍持謹慎態度,並指出,今年早些時候,該公司尖端工藝節點的良率僅為10%左右,舉步維艱。通常情況下,穩定的量產需要70%到80%的良率。據報導,台積電今年上半年2 奈米製程的良率已超過60%。三星也提高了良率,但尚未宣佈全面投產。祥明大學系統半導體工程教授李鍾煥表示:“最重要的因素是良率,其次是確保大型科技客戶。”「提高良率並非易事,但如果英特爾真的這麼做了,就說明他們有多拚命。這是他們唯一的出路。AI半導體如今已成為業界最關鍵的產品,英特爾別無選擇,只能徹底重塑自我,否則生存將舉步維艱。”由於未能跟上先進晶片需求的激增,英特爾多年來一直面臨財務壓力。如今,它的成功與華盛頓方面重建國內半導體製造業的更廣泛舉措息息相關。今年8月,美國政府以89億美元收購了英特爾10%的股份,這是其加強本土晶片生產策略的一部分。日本軟銀也向英特爾投資了20億美元,而輝達本月稍早同意投資50億美元與英特爾共同開發資料中心晶片。隨著英特爾開始在先進代工市場中佔有一席之地,台積電和三星都準備在今年推出各自的2 奈米產品。三星正準備開始生產自己的2 奈米行動處理器Exynos 2600,目標是將其整合到明年的Galaxy S26 智慧型手機中。晶圓代工市場將持續成長Credence Research的最新報告顯示,全球鑄造業正穩步成長,預計將從2023 年的1,255.6 億美元增至2032 年的1,717 億美元。該公司指出,3.99% 的複合年增長率(CAGR) 凸顯了汽車、航空航太和工業機械等關鍵領域對精密金屬鑄造和半導體製造的需求日益增長。對於eeNews Europe的讀者來說,這一趨勢標誌著半導體製造、封裝和在地化晶片生產的關鍵發展。所有這些都是塑造歐洲乃至全球電子供應鏈和創新格局的關鍵領域。人工智慧(AI)、高效能運算(HPC) 和下一代通訊技術的快速應用推動了晶圓代工市場的擴張。正如我們之前報導的那樣,對AI 加速器、GPU 和5G 晶片組的需求正推動晶圓代工廠提高產能,並向3 奈米和2 奈米等先進製程節點遷移。同時,代工廠正在大力投資異質整合和基於晶片的架構,以提高AI工作負載的效率和靈活性。先進的封裝技術(包括3D堆疊和CoWoS和InFO等晶圓級整合方法)也日益受到關注,從而可以實現更密集、更節能的晶片設計。各國政府在重塑全球晶圓代工格局方面發揮顯著作用。美國、中國和歐盟成員國正在提供大規模補貼,以促進半導體製造在地化,減少對海外晶圓廠的依賴。歐洲自身的《晶片法案》旨在透過支援德國、法國和義大利的計畫來強化這項策略,尤其是在汽車級半導體和工業物聯網應用領域。Credence Research 指出,競爭格局已呈現適度整合,主要由台積電、三星和格芯等主要廠商主導。規模較小的代工廠繼續在成熟節點和汽車、工業電子等專業市場取得成功。報告強調,成功整合數位化和永續發展實踐的代工廠有望獲得競爭優勢。自動化和數位化鑄造技術——包括人工智慧驅動的品質控制、3D砂型列印和預測性維護——正在提高產量和能源效率,同時減少浪費。隨著能源成本上升和監管收緊,永續發展已成為策略重點。展望未來,高效能運算、邊緣人工智慧和資料中心應用領域可能蘊藏著許多機會。符合區域激勵措施並投資於先進材料和數位化製造的代工廠,預計將在未來的成長中佔據有利地位。 (半導體產業觀察)
陳立武:晶圓代工業務“增加三倍”
川普牽頭,OpenAI、軟銀組局,“星際之門”項目堪比AI時代曼哈頓計畫19座晶圓廠計畫!2027年:美國晶圓廠投資 全球第一,超中、台、韓!來源:日經新聞、芯榜略作整理國際半導體產業協會 (SEMI) 預測顯示,隨著人工智慧需求的激增以及華盛頓推動本地化生產,美國半導體投資將從2027年起超過主要晶片經濟體中國大陸、台灣和韓國。2027年至2030年,美國的晶片投資(包括裝置和設施建設支出)將大幅增長,部分原因是政策驅動的對先進邏輯晶片和儲存晶片的投資。美國半導體投資 2027 年起或超中、台、韓根據行業機構SEMI的預測,今年和明年美國晶片投資將達到約210億美元,到2027年和2028年將分別增至330億美元和430億美元。SEMI 預測,美國今年、明年晶片投資均約 210 億美元,2027 年、2028 年將增至 330 億、430 億美元;2027-2030 年中國晶片總投資約 1580 億美元,增長幅度全球罕見,但美國增速或超其他地區,且政策驅動先進晶片生產下,其支出有機會領先全球。全球 12 英吋晶圓裝置支出:受益於 AI 晶片發展,2026-2028 年全球 12 英吋晶圓廠裝置支出預計達 3740 億美元(支援成熟與先進晶片製造),今年該領域支出將首次超 1000 億美元。晶片裝置支出是衡量晶片產能擴張和企業投資意願的關鍵指標。因華盛頓推動本土晶片製造並渴望引領 AI 時代,美國成半導體投資重點。企業方面,台積電承諾投資 1650 億美元,三星在德克薩斯州投資超 400 億美元,美光科技擬投 2000 億美元(項目覆蓋愛達荷州等三州)。據 SEMI 預計,2026-2028 年美國晶片裝置投資將達 600 億美元,超過同期日本的 320 億美元,美國有望在此領域投入超日本。中國晶片裝置支出 940 億,聚焦成熟晶片(全球差異化競爭)中國正在努力將更重要的晶片生產轉移到國內,在半導體製造裝置工具的採購方面仍然處於領先地位,預計從2026年到2028年,其支出將達到940億美元。然而,由於美國的出口管制限制了對尖端技術的獲取,中國大多數新建晶片工廠仍然專注於成熟晶片,而不是先進的處理器晶片。韓國和台灣是台積電、三星和SK海力士等全球領先晶片製造商的所在地,預計未來三年將分別在晶片製造工具上投資860億美元和750億美元。對於其他主要經濟體而言,歐洲和中東地區預計在晶片製造工具上的總支出將達到140億美元,而東南亞地區在三年內的支出將達到約120億美元。鑑於近期人工智慧領域主要參與者的進展,晶片製造商對晶圓廠裝置的需求並不令人意外。就在本周,OpenAI宣佈與AMD達成一項重要協議,ChatGPT 開發商OpenAI將從2026年下半年開始的幾年內購買AMD數十萬塊人工智慧晶片,相當於6千兆瓦的容量。此前,Nvidia與OpenAI達成協議,後者將購買價值至少10千兆瓦的晶片製造商系統。隨著OpenAI等終端客戶不斷購買晶片,對代工廠和合同晶片製造的需求將持續上升。8月6日,美國總統川普(左)、蘋果公司首席執行官蒂姆·庫克在華盛頓白宮與媒體見面時握手。陳立武:代工業務“增加三倍” 、先進封裝是英特爾機遇英特爾首席執行官陳立武 (Lip-Bu Tan) 在周一舉行的SEMICON West場外活動中表示,儘管最近遭遇挫折,但他仍打算將公司的代工業務“增加三倍” 。陳立武表示:“隨著人工智慧晶片變得越來越複雜,先進的封裝成為瓶頸,進而導致產能限制,如何真正擴大規模以滿足需求,我認為這對英特爾來說是一個巨大的機遇。”星際之門的初始股權投資者包括軟銀、OpenAI、甲骨文和MGX。軟銀和OpenAI是該項目的牽頭合作夥伴,未來軟銀會負責財務,OpenA負責營運,孫正義將擔任董事長。註:曼哈頓計畫是 1942-1946 年美主導、英美加聯合的核軍事研發計畫,因擔心德國先造原子彈啟動。投入 20 億美元、13 萬人,研發出 “小男孩”“胖子” 原子彈,1945 年投日本加速二戰結束。它標誌人類進入核時代,推動核科技發展,也引發核倫理爭議,影響全球核安全治理。 (芯榜+)