#半導體產業
0.2nm 將到來,最新晶片路線圖發佈
最近,韓國半導體工程師學會(ISE)發佈了《2026 年半導體技術路線圖》,其中談到了半導體工藝發展到0.2nm的預測,引起了不少關注。但如果只把它當作一份“製程更先進、指標更激進”的技術預測,反而容易忽略它真正想傳達的資訊。這份路線圖以2025年為起點,展望至2040年,對未來約15年的器件與工藝、人工智慧半導體、光互連、無線互連、感測器技術、有線互連、存算一體(PIM)、封裝技術及量子計算技術等九大半導體技術發展趨勢進行了系統性預測。這並不是一份“更小製程”的路線圖,而是一份關於半導體競爭形態正在發生改變的行業判斷。如果說過去的路線圖是關於“尺寸”的軍備競賽,那麼這份路線圖則是關於“範式”的全面重構。讓我們穿透0.2nm這個極具衝擊力的數字,沿著它給出的九條技術主線,去解析這本長達15年的“未來生存手冊”。1 器件與工藝技術路線圖半導體產業過去數十年的主線只有一條——持續微縮。通過縮小器件尺寸,晶片在功耗、成本和性能上不斷獲得紅利。最終產品的競爭力,往往體現在更高速度、更高密度、更低功耗、更小體積、更低材料成本,以及更強的系統功能上。綜合 IRDS 的 More Moore IFT(國際重點團隊)研究成果,以及 IMEC 在 ITF World 2023 與 2024 上給出的前瞻預測,韓國的路線圖試圖回答一個核心問題:在巨量資料、智能移動、雲端運算與 AI 工作負載持續攀升的背景下,邏輯與儲存技術如何在 PPAC(功耗–性能–面積–成本) 約束下繼續演進?以量產級技術為基準,這一技術路線圖從2025年起每3年為一個節點,描繪了邏輯與儲存器件在未來15 年(至2040年)的可能演進路徑,涵蓋物理結構、電氣特性與可靠性等關鍵維度。邏輯技術趨勢:從2nm到0.2nm邏輯器件工藝演進的核心目標始終未變:在更小的工藝間距和更低的工作電壓下,維持性能與功耗的有效縮放(Scaling)。然而,隨著尺寸不斷縮小,一個現實問題愈發突出——寄生效應正在吞噬微縮紅利。金屬互連、電容耦合、電阻上升,使得負載在整體性能與功耗中的佔比持續提高,甚至可能抵消電晶體本身的改進。這也直接推動了設計範式的轉變。過去,行業主要依賴 DTCO(Design-Technology Co-Optimization,設計-工藝協同最佳化),通過電路設計來彌補工藝微縮帶來的性能損失;而如今,最佳化的邊界被進一步拉大,演進為 STCO(System-Technology Co-Optimization,系統-工藝協同最佳化)——最佳化對象不再侷限於單一晶片,而是擴展至 Chiplet、先進封裝、儲存層級、互連結構,乃至整個系統架構。根據器件結構與關鍵工藝變數的路線圖預測,邏輯器件的“名義節點”將從2025年的 2nm 級,推進至2031年的1nm 級,並在2040年前後逼近0.2nm量級。微縮的關鍵變數主要集中在四個方面:三維柵極結構與間距、金屬布線Pitch、柵極長度(Lg)、三維層疊與順序整合能力。邏輯器件的器件結構及工藝技術核心變數下圖顯示了器件結構的演進趨勢。自 2025 年起,邏輯電晶體的主流結構將逐步從 FinFET 轉向 GAA(Gate-All-Around),FinFET 及 GAA 架構利用完全耗盡通道和完全反轉通道(體反轉)。進一步地,FS-FET(Fork-Sheet FET) 通過在奈米片之間加入絕緣層來分離 N 器件和 P 器件,可大幅縮小器件尺寸。雖然在2031年左右引入 0.75NA EUV 可使線寬比現有的 0.33NA EUV 縮小 2.3 倍,但物理微縮預計將趨於飽和。預計將通過 PMOS 和 NMOS 的三維整合,即稱為 CFET(互補場效應電晶體)的 3D VLSI 方向來提升器件性能。預計 CFET(Complementary FET) 將進化為 P 器件堆疊在 N 器件之上的 3D 形式。電晶體結構的演進(來源:ITF World 2023 ,IMEC)但CFET也引入了新的技術門檻,低溫工藝成為剛需,以避免上層器件製造對下層結構造成熱損傷。在移動終端和邊緣計算快速普及的背景下,降低工作電壓(Vdd) 已成為不可逆趨勢。為了在低電壓條件下維持性能,近年來邏輯器件研發的重點集中在幾項關鍵技術上:通道晶格應變(促進遷移率)、HKMG(高k金屬柵極)、降低接觸電阻及改善靜電特性。進一步的微縮,正在從“器件層面”走向“結構層面”。單片 3D(Monolithic 3D, M3D) 整合,使電晶體得以在同一晶圓上進行垂直堆疊。短期目標仍然是單線程性能提升與功耗降低;而中長期,則將演進為低 Vdd、高平行度、單位體積整合功能最大化的三維架構。與此同時,3D 混合儲存器-邏輯(3D Hybrid Memory-on-Logic)方案,正在成為 AI 與 HPC 的關鍵突破口。通過 Hybrid Bonding 直接連接邏輯與儲存晶片,可顯著縮短資料路徑、降低延遲,並提升系統能效,這對 HBM、AI 加速器、端側 AI 尤為關鍵。當然,挑戰同樣明顯:異質晶片鍵合的良率與可靠性、高功耗器件(如 GPU + HBM)的散熱路徑設計。在 2025 年至 2040 年路線圖預測的 6 個技術節點中,隨著 2nm 級以下邏輯器件微縮的推進,寄生元件導致的負載佔比增加,受性能和功耗方面的負面影響,工作電壓(0.5V~0.4V)不會有大幅改善,但跨導(Transconductance)等模擬特性將得以維持。邏輯器件技術路線圖在 2nm之後,金屬布線成為限制性能的“第二戰場”。行業需要同時滿足三項幾乎相互矛盾的目標:更低電阻、更低介電常數、更高可靠性。這對材料體系、刻蝕工藝和大馬士革(Damascene)整合精度提出了極高要求。高深寬比結構下的RC退化,使得先進計量、原位監測與即時工藝控製成為不可或缺的基礎能力。在供電架構上,一個重要的變革正在發生——背面供電(Backside Power Delivery)。通過將電源網路從晶片正面移至背面,可以實現:訊號與電源路徑解耦/降低 IR Drop 與噪聲干擾/提升面積利用率與能效。按照金屬布線微縮路線圖,背面供電網路(BSPDN) 預計將在 2028 年左右開始匯入,並在 2031 年後結合 Power Via 技術,將電源軌間距快速推進至 40nm 等級。金屬布線微縮路線圖儲存技術趨勢與路線圖如果說過去十年,半導體產業的主角是計算,那麼進入 AI 時代後,真正的瓶頸正在快速轉移到儲存。大模型訓練、推理、檢索增強(RAG)以及多模態計算,對資料吞吐、訪問延遲和能效提出了前所未有的要求。資料中心與 AI 伺服器所需要的,不只是“更大的容量”,而是同時具備:高容量 × 高頻寬 × 低延遲 × 低功耗,正是在這一背景下,儲存器從“配角”轉變為決定系統上限的關鍵角色。由於DRAM與非易失性儲存器(NVM)長期以標準化、獨立產品形態引領儲存產業演進,ISE的研究重點也主要圍繞這兩大技術體系展開。嵌入式儲存(Embedded Memory)雖然路徑相似,但在節點節奏上通常存在一定滯後。1 DRAMDRAM 誕生至今已超過 40 年,卻依然是計算系統中不可替代的工作記憶體。從 PC 的 DDR、移動終端的 LPDDR,到 GPU 的 GDDR、AI 加速器的 HBM,再到快取記憶體用的 eDRAM,DRAM 覆蓋了幾乎所有性能層級。但問題在於:傳統 DRAM單元結構,已經難以繼續按原路徑微縮。根據技術路線圖預測,DRAM 單元結構正在發生根本性變化(如下圖):單元電晶體將從傳統結構,演進為垂直通道電晶體(VCT);儲存陣列將逐步引入堆疊型 DRAM(Stacked DRAM);單元面積從 6F² 向 4F² 極限逼近。更具顛覆意義的是,CBA(CMOS Bonded to Array)技術開始浮出水面——通過混合鍵合,將 CMOS 外圍電路直接與儲存陣列整合,有望突破傳統“陣列—外圍”分離架構的效率瓶頸。在DRAM的技術演進過程中,雙功函數字線、單側電容器工藝以及埋入式通道 S/A 電晶體已應用於 DRAM 產品中,EUV光刻技術也已開始正式投入應用。為了降低字線和位線的電阻並改善工藝,目前正在研發包括釕(Ru)、鉬(Mo)在內的多種新型材料。然而,儘管付出了這些努力,預計基於BCAT(埋入式通道陣列電晶體)的DRAM 單元,微縮極限大約停留在7–8nm。DRAM技術路線圖為了突破平面 DRAM 的物理天花板,行業正在同步推進多條探索路徑:High-NA EUV 的引入、X-DRAM 等 3D DRAM 架構、4F² 單元與無電荷儲存 DRAM(Capacitorless DRAM)、電路級與運行機制最佳化(如更精細的時鐘控制)。與此同時,DRAM 工藝的“長期作業清單”也在不斷拉長:單元持續微縮、外圍電路引入 HKMG、字線/位線新材料(Ru、Mo 等)、更高品質的高 k 電容介質、面向 3D DRAM 的工藝穩定性控制。從中長期看,高容量混合鍵合 DRAM 晶片,以及高層數 HBM 的晶圓級封裝能力,正逐步成為競爭分水嶺。隨著 AI訓練規模指數級放大,HBM(高頻寬儲存器)成為增長最快的儲存細分市場。它通過多顆 DRAM Die 的垂直堆疊,實現了高頻寬、低功耗、近計算的資料供給模式。HBM預計將從2025 年 12 層、2TB/s 頻寬,發展至2031年20 層、8TB/s 頻寬,並在2040年達到30層以上、128TB/s的頻寬水平(上圖)。HBM 的核心技術挑戰集中在:TSV 工藝與良率、均勻供電與功耗管理、熱路徑與散熱、微凸點 / 混合鍵合介面、I/O 數量持續擴展。進一步看,HBM 的意義已經超出“儲存器件”本身。要真正突破馮·諾依曼瓶頸,PIM(存內處理)、CIM(存內計算)、AIM(加速器記憶體)等新範式,正圍繞 HBM與GDDR架構同步推進。同時,CXL儲存器也被視為資料中心等級不可或缺的關鍵拼圖。2 NVM:Flash還在長高,但路越來越窄非易失性儲存器的應用跨度極大,從 Kb 級嵌入式系統到 Tb 級資料中心,其技術路徑也高度分化。Flash儲存基於 1T 單元,在二維平面下幾乎無法繼續提升密度。真正讓NAND走到今天的,是3D堆疊。當前3D NAND 的核心難題,並不在電學原理,而在製造本身:超高深寬比深孔刻蝕、多層介質與多晶矽沉積、晶圓翹曲(Warpage)控制、高精度計量與缺陷監測。3D-NAND 技術方面,產業界已經給出清晰節奏:321 層快閃記憶體已於 2025 年開始量產;預計 2028 年後可實現 600 層,2031 年左右實現 1000 層。若能應用工藝微縮及 3D 混合鍵合技術,預計到 2040 年甚至有望達到 2000 層。但層數越高,字線接觸結構的面積開銷也隨之放大。因此,Word Line Pitch 必須快速壓縮,近期已逼近 40nm 以下。在單元層面,QLC 已全面商用,PLC 也在推進之中。但每增加一bit,意味著:程式設計/讀取時間更長、電平間隔更窄、可靠性壓力更大,這是一場典型的性能—成本—可靠性三方博弈。3 下一代非易失性儲存除了 Flash,業界也在持續探索不依賴電荷儲存的新型 NVM,包括 FeRAM、MRAM、PCM、ReRAM 等。但要取代現有器件,在技術上仍存在大量有待解決的問題。FeRAM / FeFET:依託 HfO₂ 鐵電材料,有望實現低功耗、極速的類 Flash 1T 儲存,尤其適合嵌入式場景。STT-MRAM:難以在短期內取代大容量 NAND,但在嵌入式 NOR 替代上潛力明確。NOR Flash:由於成熟、穩定、耐高溫銲接,仍將在嵌入式系統中長期存在。3D Cross Point / SCM:通過 BEOL 工藝實現多層堆疊,在吞吐量、能效和成本之間取得平衡。在這些方案中,PCM 被認為是縮放潛力最均衡的路線,而 ReRAM 則仍需克服一致性與波動性問題。2 人工智慧半導體路線圖AI/ML 的快速發展,直接催生了一個規模龐大的專用計算硬體市場。預計到 2025 年,AI 相關計算將佔全球計算需求的約 20%,對應數百億美元等級的市場規模。從硬體角度看,當前主流 AI/ML 平台主要包括以下幾類:CPU、GPU、ASIC、數字 ASIC 加速器、CIM(存內計算)、模擬 ASIC 加速器。人工智慧半導體技術可分為訓練和推理兩類,其性能表現會隨著改採用的硬體和計算精度而呈現出較大的差異。用於訓練的計算能力預計將從 2025 年的 0.1~10 TOPS/W,發展到 2040 年的 5~1000 TOPS/W;用於推理的計算能力預計將從 2025 年的 0.1~10 TOPS/W,提升至 2040 年的 1~100 TOPS/W。然而,這一趨勢是基於當前計算精度假設得出的,在未來若出現新的精度形式,預測數值可能會發生變化。總體而言,所需且可實現的計算能力預計將根據具體應用進行最佳化並呈現出不同的水平。訓練和推理用硬體的計算效率發展趨勢訓練和推理用硬體的性能與系統功耗3 光連接半導體路線圖在超連接技術體系中,資料的生成、傳輸與處理能力正逐漸成為決定系統上限的關鍵因素。隨著人工智慧(AI)與高性能計算(HPC)規模持續擴張,傳統依賴銅互連的電連接方式,正日益暴露出在頻寬、功耗、延遲與系統複雜度方面的瓶頸。在這一背景下,光連接(Optical Interconnect) 被視為突破互連瓶頸的核心技術路徑之一。它不僅已廣泛應用於現有資料中心內部與資料中心之間的高速通訊,還在 AI 與 HPC 驅動的雲端運算系統中,承擔著超高速大規模資料流動的基礎設施角色,並逐步向資料生成、協同計算與即時分析等環節延伸。從更長遠的視角看,光連接的應用邊界正在持續擴展:面向物聯網(IoT)的光感測與邊緣連接,光纖到戶(FTTH),汽車、航空航天、醫療與工業自動化,自由空間光互連(FSOI)、LiFi 等新型通訊方式以及與量子計算系統的深度融合。同時,結合先進半導體器件與封裝工藝,將光器件與電子器件在更緊密的尺度上整合,也被認為是光連接技術實現跨代躍遷的重要方向。當前,光連接最直接的價值在於克服銅互連的物理極限。在高頻高速條件下,銅互連不可避免地面臨訊號衰減、串擾、功耗上升、散熱困難以及系統營運成本上升等問題。相比之下,光連接在頻寬密度、傳輸距離和能效方面具有天然優勢。最初,光連接主要應用於區域網路、無線通訊基站、資料中心之間的長距離通訊(>40 km),以及資料中心內部系統之間的互連。近年來,隨著 AI 與 HPC 對資料吞吐需求呈指數級增長,光連接開始向計算單元內部以及計算單元之間延伸,成為支撐算力擴展的關鍵基礎設施。在光連接半導體技術路線圖中,資料中心被視為最核心的應用起點。圍繞這一場景,光連接技術通常從兩個維度進行劃分:按系統結構可分為系統內部光連接(Inside-of-Rack)、系統間光連接(Outside-of-Rack);按傳輸距離可細分為XSR(<1 m)、SR(<100 m)、DR(<500 m)、FR(<2 km)。不同距離與系統形態,對材料、器件、封裝與系統架構提出了截然不同的要求。無論具體實現形式如何,光連接的本質都是通過電–光與光–電轉換實現高速資料傳輸。圍繞這一核心,當前的技術演進主線可以概括為 CPO(Co-Packaged Optics)。在實際產品中,通常根據系統邊界將其區分為兩類:Inside-of-Rack CPO:用於系統內部,替代 PCB 上的銅互連Outside-of-Rack 可插拔式收發器/交換機:用於系統之間連接第一代:銅互連為主,光作為補充在早期架構中,計算器件間的資料主要通過 PCB 上的銅互連傳輸。隨著速率提升,訊號失真、串擾與延遲問題愈發嚴重,需要引入 Retimer 或 DSP 才能勉強維持性能,導致系統功耗、成本與複雜度顯著上升。第二代:OBO 緩解問題,但仍未根治通過縮短銅互連長度、引入 OBO(On-Board Optics),可在一定程度上降低損耗與功耗。但在 >100 Gbps/lane 的速率需求下,銅互連的物理限制仍然存在。第三代:NPO,光靠近計算NPO(Near-Packaged Optics) 通過將光引擎以可插拔或半固定方式佈置在靠近計算器件的位置,用光互連取代 PCB 上的高速銅線。目前,基於 VCSEL 的多模方案正在通過國際聯合研究持續推進。第四代:真正的 CPO在 CPO(Co-Packaged Optics) 架構中,計算晶片與光引擎在封裝層面整合為單一芯粒(Chiplet),外部銅互連被徹底消除。晶圓級封裝與裝配技術,被視為推動這一代技術落地的關鍵。第五代:無 PCB 的光系統從更長遠看,光連接將引入外接或整合雷射系統(ELS / ILS),並結合單片光電整合技術,逐步演進為無需 PCB 的光互連系統。要在系統層面實現高速、低功耗光連接,必須依賴光積體電路(PIC)。其核心在於將雷射、調製、復用、探測等功能,在半導體工藝與封裝層面實現高密度整合。當前,基於 SOI 的矽光子技術已較為成熟,但在調製器尺寸、功耗與溫度穩定性方面仍存在挑戰。TFLN、III-V/Si 異質整合、等離激元與非周期奈米光子結構,正被視為突破現有瓶頸的關鍵方向。從調製器、MUX/DEMUX、波導,到最終的光交換與光路由,光連接技術正逐步從“通訊器件”,演進為具備計算與邏輯能力的系統級基礎設施。綜合光連接路線圖與當前光連接產業的現狀,預測到 2040 年的中長期技術開發路線圖如下所示,並以單通道(Lane,1 根光纖)可實現的資料傳輸速率為基準進行整理。在中期階段,光連接將從 2025 年起逐步匯入基於 PAM4 的 200Gbps/lane 方案,並向 400Gbps/lane 演進;與此同時,系統內部光連接將進入第三代NPO(Near-Packaged Optics) 的探索與匯入階段。更關鍵的是,這一階段預計將推動形成矽光子相關的產業標準,為後續更激進的封裝整合與系統架構演進打下統一介面與規模化基礎。光連接半導體技術路線圖從長期來看,路線圖指向 800Gbps/lane 以上的單通道能力,這將推動第四代CPO進入更廣泛的實際應用。與此同時,為了支撐超高速傳輸並進一步降低能耗,系統架構將逐步引入兩條關鍵路徑:儘量減少電/光轉換次數的混合電/光(Hybrid E/O)體系;面向更極致目標的 光邏輯(Optical Logic) 與光學資訊處理能力。更進一步,圍繞光邏輯的材料、器件、系統技術體系,以及與量子計算的融合協同,有望在“超高速計算 + 超高速互連”這一組合領域帶來非線性等級的突破。為了支撐上述路線,未來約 5 年的中期階段,核心工程問題集中在“能跑得更快、跑得更穩、跑得更省”三件事上:速率提升與訊號完整性:在更高速率下抑制失真與誤碼延遲下降:將訊號等待時間從“數微秒”壓到“數納秒”量級功耗與熱管理:降低驅動功耗與發熱,控制系統總功耗小型化與高密度:在更小的 Form Factor 內實現更高頻寬密度與此同時,光連接向其他產業擴展,也將以“光引擎 + 類似原理的光感測器”為技術支點,尤其是 ToF / LiDAR 形態的三維測距能力,進入智慧型手機、車載系統等規模化平台,並進一步推動航空航天、醫療、工業現場與家庭場景的輕薄短小新系統匯入。對於當前最主要的應用場景——資料中心巨量資料傳輸——光連接將在 AI/LLM 訓練推理、高性能計算(HPC)與多形態雲系統中持續擴大滲透,並在緩解資料瓶頸、降低能耗、減少設施維運成本與推動環保等方面給出系統級解法。長期(約 15 年)真正難啃的骨頭,是資料中心互連的結構性問題:即便大量引入光連接,只要系統仍頻繁經歷電/光/電的往返轉換,延遲與功耗的上限就仍然存在。因此,路線圖提出的關鍵對策之一,是引入光學路由(Optical Routing)。基於 MEMS 的混合電/光路由(Hybrid E/O Routing)已經在實驗層面展示了可行性,並有潛力從系統間互連擴展到系統內部:包括計算裝置之間、計算與儲存之間的資料流動。要讓光學路由真正成為“體系能力”,前提是引入某種形式的光學邏輯(Optical Logic),使系統能夠在光域完成:指令解碼、可用路徑識別、資料流切換與衝突處理。這可能意味著:不僅需要新材料、新器件與新結構,還需要圍繞“儘量少做一次電/光/電轉換”建立統一的標準介面與適配體系。更激進也更具想像力的方向,是光學邏輯與量子計算的結合。一旦這條路徑成熟,它可能成為真正的“規則改變者”:在提升速率、降低失真、壓縮等待時間、降低功耗與實現高密度整合等維度同時帶來躍遷。在更前沿的方向上,路線圖還指向用於通訊的結構光。例如,將軌道角動量引入資料傳輸,可實現模式分割復用,並與 WDM(波分復用)、PDM(偏振復用)疊加,從而在理論上打開更大的容量空間。此外,一系列面向“光子訊號可控性”的潛在關鍵技術——包括光學放大、調製(波長/偏振/方向)、乃至啟動光子儲存器——也可能成為下一代光連接系統的重要拼圖。4 無線連接半導體路線圖在無線連接領域,下圖是ISE預測的無線連接技術路線圖:對於 3G/4G/5G 的 Sub-6GHz 主戰場,峰值速率目前處於數 Gbps 水平,未來隨著基站/終端硬體能力與調製技術提升,預計到 2040 年前後可達到數十至 100Gbps量級。對於 5G/6G 的高頻擴展路徑,毫米波與亞太赫茲將被更積極地利用。6G 世代的目標指向 0.1~1Tbps(100~1000Gbps)峰值速率,並預計在 2040 年左右,Tbps 級鏈路將在部分應用場景中實現落地。無線連接技術路線圖發展趨勢LPWAN、Bluetooth、Wi-Fi 與 5G/6G 等多種標準仍在競爭與分工中共存,為 IoT 裝置提供多層次連接能力。由於大量終端需要在極低功耗下長期運行,無線通訊器件與電路必須持續提升能效。與此同時,面向 5G/6G 的有源相控陣天線已經取得顯著進展:高指向性不僅能以更低功耗實現更遠距離通訊,還能降低干擾並提升鏈路安全性。更現實的工程趨勢是:將不同材料體系(CMOS/SiGe BiCMOS 與 III-V 等)的器件能力,通過 hybrid 電路設計與先進封裝整合為單一系統,正在成為高性能無線平台的關鍵路徑之一。更重要的是,未來 5G 演進與 6G 願景的目標,已不再是單純把峰值速率做高,而是走向“綜合質量指標”的系統級提升:時延、能效、可靠性將與吞吐量同等重要。6G 願景中提出將端到端時延從毫秒級壓到 數百微秒以下,並將每位元能耗降至 數十 pJ/bit以下——這意味著無線連接半導體必須在核心模組上持續突破:更高效率且更高線性的 PA、更低相位噪聲的頻率合成器,以及支撐大規模相控陣與波束成形的 RF-SoC 平台。在 6G 時代,ISAC(感知與通訊一體化)預計將成為無線連接半導體的重要應用方向:同一套 RF 前端與基帶平台既要做通訊,也要做高解析度雷達感知。除傳統 PA/LNA 與頻率合成器外,還需要脈衝生成電路、高速高解析度 ADC,以及能夠對公共硬體資源進行動態重構的 RF-SoC 架構。與此同時,隨著低軌衛星(LEO)推動的 NTN(天地一體化網路)擴展,面向衛星終端的 RF 前端與波束成形晶片組需求也將顯著增長。在這一領域,GaN HEMT、InP HEMT 等 III-V 器件與 CMOS/SiGe BiCMOS的融合設計與封裝能力,可能成為決定系統性能、成本與可規模化程度的關鍵。5 感測器技術隨著人工智慧在產業中的深入應用,減少人工干預、提升系統自主性正在成為主流範式。作為自動化系統的核心輸入端,感測器在精度、可靠性與資訊維度上持續演進。受益於半導體工藝進步與新材料引入,感測器不僅測得更準,也開始獲取過去難以檢測的新資訊。按照資訊獲取方式,本路線圖將感測器劃分為成像感測器與檢測類感測器,並在此基礎上討論其技術演進方向及與 AI 的融合趨勢。1 圖像感測器技術演進對於可見光圖像感測器而言,像素微縮仍是核心主線。過去二十年中,消費級 CIS 像素尺寸從 5.6 μm 縮小至 0.5 μm,影像品質卻持續提升,關鍵在於多次結構性創新:PPD 降低噪聲與暗電流、BSI 將填充因子提升至接近 100%、DTI / FDTI 抑制像素串擾、Tetra Pixel 結合演算法提升低照度性能。像素微縮趨勢與關鍵技術隨著像素進入亞微米尺度,靈敏度、串擾與光衍射成為瓶頸,未來像素微縮節奏將放緩。為突破靈敏度限制,超構光學(meta optics) 等新型光學結構開始受到關注。HDR 技術方面,多重曝光與單次曝光平行發展。面向視訊與車載應用,行業正加速採用多種單次曝光方案,並將 LED Flicker Mitigation(LFM) 作為關鍵競爭指標。車載 CIS 已實現單次曝光超過 120 dB 的動態範圍。在基礎性能上,隨機噪聲(RN) 隨工藝與電路最佳化持續降低,未來有望進入 1 e⁻ 以下;功耗在性能提升背景下仍受控,整體呈下降趨勢。在結構上,晶圓堆疊(2-stack → 3-stack) 正成為高性能 CIS 的標配,並為新型感測器結構釋放空間。下一代成像結構的發展趨勢如下:全域快門(GS)/混合 GS:通過 3D 堆疊等技術緩解 GS 在噪聲與像素尺寸上的劣勢,推動其向移動端滲透。數字像素感測器(DPS):像素內整合 ADC,天然支援 GS 與高影格率,借助 3D 堆疊逐步向消費級應用靠近。光子計數感測器(PCS):具備單光子檢測能力,在極低照度下優勢顯著,但在像素尺寸、功耗與成本上仍面臨挑戰,短期內主要處於研究與探索階段。可見光感測器技術路線圖2 非可見光圖像感測器非可見光感測器覆蓋 UV、NIR、SWIR、LWIR 波段,應用從軍用擴展至工業、醫療、自動駕駛等領域。非可見光波段圖像感測器的吸收材料UV(200–400 nm):以矽基為主,但受限於表面吸收過強與 QE 偏低,正探索 PQD、SiC、GaN 等寬禁帶材料。NIR(700–1000 nm):仍沿矽基路線演進,SPAD 技術推動 LiDAR 與低照度應用發展;RGB+IR 結構成為新趨勢。SWIR(1.0–2.5 μm):當前以 InGaAs 為主,性能優但成本高;QD(PbS、InAs、Ag₂Te) 與 Ge 被視為潛在替代方案,關鍵在於 QE、RoHS 合規與量產能力。LWIR(8–14 μm):以微測輻射熱計(VOx / a-Si)為主,受限於工藝複雜與像素微縮難度,材料與結構簡化仍是研究重點。3 事件驅動與檢測類感測器事件驅動視覺感測器(EVS) 以非同步方式僅輸出光強變化事件,具備高時間解析度與低功耗優勢,適合高速目標檢測。未來發展重點包括:像素微縮、低照度與 HDR 改善,以及 事件訊號處理 IP 與 On-sensor AI 的引入。4 面向 AI 時代的感測器趨勢三條方向尤為明確:In-Sensor DNN:在 CIS 內部整合 DNN,僅輸出特徵或中繼資料,可獲得 百倍級能效優勢,緩解介面與頻寬瓶頸。超低功耗(AON):通過情境感知、ROI 讀取與輕量模型,實現“常開但不耗電”的感知體系。多感測器融合:融合視覺、雷達、LiDAR、IMU 等資訊,提升系統魯棒性,並向協同感知(V2X / CP)演進。總的來說,感測器正從“記錄世界”走向“理解世界”。在單一性能指標逐步逼近極限的背景下,AI 驅動的計算前移、結構創新與多感測器融合將成為決定未來感測器價值的關鍵因素。感測器不再只是資料來源,而是 智能系統中的主動計算節點。感測器技術發展動向路線圖6 有線互連半導體技術有線互連可定義為:在半導體系統中利用金屬布線實現晶片間通訊的技術。按整合層級可歸納為三條主線:1 封裝層級:異構整合異構整合在封裝層實現系統級整合,典型形式包括中介層(interposer)與芯粒(chiplet)架構。中介層的核心價值在於用具備更高布線密度的結構/材料,替代傳統封裝基板,以縮短互連距離並提升 I/O 密度,從而改善訊號傳輸能力。上圖對比了異構整合與單片整合的差異,如上所述,異構整合中最具代表性的核心推動要素是中介層上圖進一步比較不同材料中介層的優勢與侷限。由於材料特性差異明確,中介層選擇應由系統目標(損耗、成本、整合度、可靠性等)驅動封裝中主要互連方式比較用於高速系統封裝中有線互連的互連技術主要可分為四類,按開發順序依次為:(1)引線鍵合(wire bonding,WB),(2)受控塌陷晶片連接(controlled collapse chip connection,C4)凸點,(3)晶片連接(chip connection,C2)凸點,以及(4)混合鍵合(hybrid bonding)。如上表中所示,引線鍵合雖然具有較高的可靠性,但由於其電氣寄生參數較大,可傳輸的訊號頻寬通常低於 1 GHz。C4 凸點採用錫-鉛合金,相較於 WB 具有更短的互連長度和更小的寄生參數,其可支援的訊號頻寬一般在 10–20 GHz 範圍內。為進一步提升 C4 凸點的整合密度,引入了銅柱(Cu pillar),並在此基礎上提出了 C2 凸點技術,以實現更高的互連密度。最後,通過同時實現介電材料與銅的鍵合,提出了混合鍵合技術,從而達成目前最高整合度的互連方案。在中介層中,關鍵的連接要素是矽通孔(Through Silicon Via,TSV),其長度相比傳統互連方式如引線鍵合(WB)要短得多。互連長度的縮短可顯著降低寄生電感與電阻,從而改善訊號傳輸特性。借助 TSV,不僅可以提升半導體系統的整合度,還能夠同步提高系統性能。在矽中介層中使用的 TSV,在玻璃基板中對應的是玻璃通孔(Through Glass Via,TGV)。與 TSV 類似,TGV 也是一種垂直互連結構。下表對 TSV 與 TGV 進行了比較,其主要差異來源於材料特性的不同。這種差異主要是由於矽與玻璃的介電常數不同所致,介電常數反映了材料對高頻訊號的響應特性。正因如此,矽和玻璃在實際應用中的使用領域各有側重;此外,玻璃基板還可實現面板級工藝,在成本方面也具備一定優勢。TSV與TGV的比較2 晶片層級:芯粒(Chiplet)芯粒將原本單片製造的整體晶片拆分為多個子晶片單元,分別採用更合適的工藝製造,並在封裝階段整合。可以理解為:中介層偏“封裝層提升整合”,芯粒偏“矽層拆分重組提升整合”。Chiplet技術路線圖產業趨勢:芯粒將經歷商業化落地與生態擴展階段,系統架構向整合多類異構晶片的 Polylithic SoC 演進,並圍繞標準介面形成通用設計與製造體系;長期看,資源與功能的統一管理有望上升到 OS/系統層的“晶片管理”範式。芯粒互連標準:主要包括 BoW、AIB、UCIe。其中 UCIe 採用差分序列鏈路,支援均衡與編碼,並引入 CDR(時鐘資料恢復),減少對獨立時鐘分發的依賴。綜合訊號完整性、抗噪與可擴展性,UCIe 在有限頻寬條件下優勢更突出,且可支援更長互連距離(最高可達 10 mm),因此更適合高性能芯粒架構。封裝技術:早期以 2.5D(如 CoWoS、Foveros、SoIC 等)提升互連密度並保證 SI;隨後 Wafer-on-Wafer 與柔性基板提升堆疊自由度;長期目標是減少中介層依賴、走向更徹底的 3D 垂直整合。設計自動化:從 chiplet-aware 設計到 AI 輔助協同最佳化,最終走向可對多芯粒進行動態對應與全系統級最佳化的高度自動化體系。電源管理:從芯粒間供電路徑最佳化,到芯粒級 DVFS,再到封裝層面電力共享與協調的統一管理。3 電路層級:SerDes 演進SerDes 是高速互連的關鍵:將大量數字訊號對應為高速鏈路可承載的訊號形式,實現可靠傳輸。下圖展示了 2000–2024 年不同 SerDes 標準規定的資料速率演進趨勢:速率提升不僅持續推進,而且呈現近似指數增長。這意味著有線互連所需的頻率頻寬同樣以指數方式增加。SerDes 規格中資料傳輸速率的發展趨勢下表對代表性標準(PCIe、乙太網路、USB 等)進行對比:速率整體仍延續指數提升。為在頻寬受限的條件下提高有效傳輸能力,業界正持續採用更高頻譜效率的 PAM 多電平傳輸;時鐘逐步走向嵌入式/恢復式方案以減少布線並緩解相位不匹配;均衡成為標配,其中 CTLE 幾乎普遍採用,DFE/FFE 按通道需求選擇性引入。7 PIM(存內計算,Processing-In-Memory)技術PIM技術可視為對傳統馮·諾依曼架構在AI時代的一次體系級回應。PIM 的核心思想是在儲存層附近或內部執行計算,以最小化“算—存”之間的資料傳輸。根據計算單元與儲存單元的物理位置關係,PIM 技術可分為三類:PIM 技術可以具體分為 CIM、PIM 和 PNM 三類。按照這一分類,CIM 更偏向於計算能力,而 PIM 更偏向於儲存能力。借助 TSV 等新一代晶片互連技術,PNM 架構有望同時最大化 CIM 與 PIM 各自的優勢。ISE的路線圖正是將這種 PNM 技術作為未來形態的 PIM 計算架構加以提出。PIM技術路線圖以 PNM 為核心形態的 PIM 架構,具備從加速器向獨立計算平台演進的潛力,並有望在未來的資料中心化(data-centric)計算體系中,成為支撐 AI 推理與訓練的重要基礎硬體形態。PIM 的發展路徑可概括為兩個階段:到2034 年:PIM 主要作為 GPU 生態中的高性能元件存在,重點加速推理類 GEMV 運算,並逐步擴展至受限訓練場景;到2040 年:PIM 通過 PNM 架構實現規模化互連與協同計算,逐步承擔核心計算角色,覆蓋推理與訓練任務,形成以 PIM 為中心的計算體系。在結構上,該路線圖傾向於採用 DRAM + Base die(邏輯工藝) 的 PNM 形態,通過 TSV 與先進封裝實現高頻寬互連,並在 Base die 中引入可擴展計算與片內 CIM,以提升系統整體的 roofline 上限。PIM 技術的進一步發展仍面臨若干關鍵挑戰:CIM–PIM 間的 TSV 高頻寬、低功耗互連;Base die 與 DRAM die 的功能劃分與散熱管理;與 Host-processor 軟體棧的協同與可程式設計性問題;PIM Cube 之間的低功耗、超高速互連機制。這些問題不僅涉及器件與封裝層面,也直接關係到系統架構與軟體生態的接受程度。8 半導體封裝技術本路線圖將封裝技術劃分並定義為五個主要方向。第一,介紹將單一晶片封裝為一個整體的 Single-Chip 結構,以及將多個晶片整合為一個模組的 Multi-Chip 結構。第二,從封裝內部布線與互連的角度,區分傳統的 2D 封裝、採用高密度中介層或橋接結構的 2.xD 封裝,以及垂直堆疊的 3D 封裝,並分別進行說明。第三,討論在晶圓或面板層級同時完成多晶片封裝的扇出型晶圓級 / 面板級封裝(FO-WLP/PLP)技術。第四,針對 HPC 與資料中心封裝,重點介紹建構高性能計算系統所需的核心封裝技術,包括基於 Chiplet 的異構整合、超高頻寬儲存器(HBM)耦合、細間距互連與 Die-to-Die 標準,以及應對高熱密度的封裝與散熱結構。第五,涵蓋在高功率、高密度環境中不可或缺的熱管理結構,以及支撐整體封裝設計的建模、模擬與協同設計(Co-Design)技術。先進封裝技術路線圖基於 Single-Chip 的整合方式,正因製程成本上升與大尺寸 die 良率受限而逐步顯現出結構性約束。在此背景下,基於 chiplet 的 Multi-Chip Integration 作為新的系統整合方式不斷擴散。同時,封裝架構正從傳統的 2D 結構向 2.xD 與 3D 結構演進,中介層、Fan-out RDL 以及基於混合鍵合的互連微縮,已成為實現高頻寬與低時延特性的關鍵技術要素。此外,Fan-out 與 PLP 工藝作為同時追求封裝微縮與生產效率提升的技術,其應用範圍也在逐步擴大。HPC與資料中心領域是最早、也是最強烈推動上述封裝技術變革的代表性應用場景。在這些系統中,基於 chiplet 的架構、HBM 的整合、高密度互連,以及電力與冷卻的一體化設計,已成為決定系統性能與可擴展性的核心因素。同時,隨著結構向高整合度與高功率密度發展,熱管理、多物理場建模以及基於 Co-Design 的綜合設計環境,正被視為決定封裝性能與可靠性的必備基礎技術。9 量子計算半導體技術量子計算通過對量子位元的量子力學現象進行控制,以機率性、可逆的運算方式,相較經典電腦可實現更優異的性能和計算速度。在多種量子位元類型中,超導量子位元因其與半導體工藝的高度相容性、良好的整合性以及快速的門操作速度,在產業界和學術界得到了極為活躍的研究。國際上 IBM、Google、Intel、Rigetti、D-Wave 等重點佈局超導量子位元;IonQ、Quantinuum 深耕離子阱路線;Xanadu、PsiQuantum 則專注光子量子計算。Google 已通過隨機量子電路實驗驗證量子優越性,Intel 與 QuTech 在低溫自旋量子位元方面取得階段性成果。如下圖所示。由於在工藝成熟度、整合潛力與半導體相容性方面具備顯著優勢,超導量子位元被普遍認為是最具現實可行性的量子計算實現路徑之一。近年來,其核心指標——量子位元規模、門操作保真度及糾錯能力——持續提升(見下圖)。從時間軸看,Google 於 2019 年推出 53 位元 Sycamore;IBM 在 2021–2023 年間相繼發佈 Eagle(127 位元)、Osprey(433 位元)與 Condor(1,121 位元);2024–2025 年,Heron、Willow 及 Majorana 系列處理器在可靠性、糾錯率和新型拓撲架構方面取得突破,標誌著系統工程能力的顯著提升。全球量子計算市場正快速增長,量子計算被視為核心驅動力之一。主要企業已不再侷限於硬體研發,而是同步建構雲端可訪問的量子計算服務與軟體生態,如 IBM Quantum、Azure Quantum 等。總體趨勢顯示,硬體—軟體—雲平台的一體化正在成為量子計算產業化的主線。綜合現有研究與產業規劃,量子計算技術正沿著“驗證 → 整合 → 容錯 → 規模化”的路徑演進(見下圖)。2024–2025 年:中等規模量子處理器實現穩定運行,Cryo-CMOS 控制與低溫讀出逐步整合。2026–2028 年:數千量子位元級模組化架構出現,自動化糾錯機制確立。2029–2035 年:容錯量子電腦與邏輯量子位元規模化落地,量子優勢在材料、化學等領域得到驗證。2036–2040 年:量子計算與 HPC、AI 深度融合,形成以 QPU 為核心的量子中心計算平台。10 結語縱觀這份長達百余頁、跨越15年的路線圖,我們看到的不僅是一系列令人驚嘆的技術參數,更是半導體產業在面對物理極限時的一次集體“突圍”。ISE所描繪的未來,是一個“邊界消失”的世界:邏輯與儲存通過3D混合鍵合融為一體,光訊號在晶片內部取代銅線穿梭,感測器從單純的資料採集器進化為擁有自主意識的探測節點,而量子位元則在極低溫的寂靜中重塑計算的本質。這反映了半導體產業最深層、也最具觀察力的轉折——單一技術的紅利已經枯竭,全端式的系統整合正成為新的主權邊界。在這場通往2040年的長跑中,0.2nm或許是工藝的終局,但對於真正決定計算未來的系統性重構而言,大幕才剛剛開啟。 (半導體行業觀察)
2025-2026年中國半導體產業年度深度研究報告:周期築底回升、算力結構性躍遷與國產化體系重構
1. 全球半導體產業宏觀圖景與中國市場韌性在全球科技演進的宏觀敘事中,2025年被確立為半導體產業從“周期性調整”向“結構性擴張”過渡的關鍵元年。根據最新的行業統計資料,全球半導體市場規模在2024年達到6,591億美元的基礎上,預計2025年將增長至7,893億美元,增速表現出顯著的加速態勢 [1]。這一增長的核心動能已從傳統的智慧型手機與PC消費驅動,深化為以人工智慧(AI)基礎設施、高性能計算(HPC)以及汽車電動化為核心的多維驅動架構 [2]。中國半導體市場作為全球產業鏈中增長潛力最強、市場腹地最深的區域,其發展節奏與全球步調既有共振亦有差異。2024年中國半導體市場規模為1,769億美元,而2025年預計將達到2,067億美元,年度同比增長率約16.8%,增速保持在全球均值的高位區間 [1]。這一增速背後的底層邏輯,不僅在於終端需求的復甦,更在於國家戰略引領下的“全產業鏈自主可控”處理程序進入了從量變到質變的突破階段 [3]。資料來源:綜合整理自 [1, 4]。從產業周期視角來看,2025年標誌著半導體行業打破了傳統的“四年小周期”規律。受人工智慧算力需求的強力支撐,半導體行業有望迎來長達六年的上行增長周期 [2]。在這一宏觀背景下,中國半導體產業在裝置端(北方華創、中微公司等)、製造端(中芯國際、華虹半導體等)以及功率與儲存等細分賽道,均展現出了極強的盈利彈性與技術進化速度。2. 半導體裝置環節:國產替代進入“深水區”與平台化擴張在半導體產業鏈的最上游,裝置環節的自主化率被視為衡量一國半導體實力的硬指標。2025年,中國本土半導體裝置企業在資本支出保持高位的背景下,實現了營收與淨利潤的雙重突破,產品線正從單一環節向平台化、全覆蓋方向加速演進。2.1 北方華創 (NAURA):全產業鏈平台化的領軍效應北方華創作為國內產品線最為齊全的半導體裝置龍頭,其在2025年的表現充分印證了“平台化戰略”的協同優勢。2025年前三季度,北方華創實現營業收入273.0億元,同比增長33.0%;歸母淨利潤達到51.3億元,同比增長15.0% [5]。通過深度拆解其財務資料可以發現,北方華創在2025年表現出明顯的“庫存換增長”特徵。截至2025年第三季度末,公司存貨規模達到302.0億元,同比大幅增長30.0% [5]。在半導體裝置行業,高額存貨往往對應著下游晶圓廠的高意向訂單和處於交付前夕的在產品。同時,公司經營活動產生的淨現金流在三季度轉正,金額達6.3億元,顯示出隨著前期大規模投入逐步進入收穫期,企業的經營現金流狀況正得到實質性改善[5]。北方華創的業務已覆蓋刻蝕、薄膜沉積(PVD/CVD)、清洗、熱處理、氧化、擴散等核心工藝環節。儘管2025年前三季度的綜合毛利率為41.4%,受新品確認及客戶結構變化影響同比略降2.8個百分點,但在關鍵工藝的市佔率提升,為其2026年的持續盈利打下了堅實基礎 [5]。2.2 中微公司 (AMEC):刻蝕技術的高精尖突圍與北方華創的平台化不同,中微公司展現了在特定高門檻領域的極深造詣。公司專注於電漿體刻蝕裝置(CCP/ICP)和MOCVD裝置,技術水平已躋身國際第一梯隊 [6]。2025年前三季度,中微公司實現營收80.63億元,同比增長46.40% [6, 7]。資料來源:[6, 7]。中微公司的盈利邏輯在於對研發的極端重視。2025年前三季度研發支出達25.23億元,同比增長63.44%,這一投入規模即便在國際半導體巨頭中也極具競爭力 [6]。這種高強度投入直接轉化為了其薄膜裝置類股的爆發式增長,尤其是ALD(原子層沉積)裝置的國產替代處理程序在2025年出現了突破性進展。2.3 拓荊科技 (Piotech) 與華海清科 (Hwatsing):細分賽道的隱形冠軍拓荊科技作為國內薄膜沉積裝置的龍頭,在2025年實現了業績的加速跑。前三季度營業收入達42.20億元,同比大幅增長85.3%;歸母淨利潤5.57億元,增長105.1% [8]。其PECVD、ALD等先進製程裝置已在主流晶圓廠實現量產放量,且公司在合同負債(預收款)端表現強勁,截至三季度末達48.94億元,同比近乎翻倍,預示其在2026年仍將保持高速增長態勢 [8]。華海清科則穩守化學機械拋光(CMP)領軍地位。隨著12英吋先進製程對拋光步驟要求的幾何倍增,華海清科不僅實現了CMP裝置的全面突破,更通過擴展減薄裝置和耗材業務,建構了更寬的護城河。盛美上海(ACM Research)則憑藉在清洗裝置領域的差異化競爭力(如SAPS/TEBO兆聲波清洗技術),成功向電鍍、拋光等領域延伸,成為多元化裝置陣營的重要一極。3. 晶圓代工與製造:百萬片產能里程碑與成熟製程復甦晶圓代工是半導體產業鏈的中樞,連接著上游設計與下游應用。2025年,中國大陸晶圓代工業不僅在產能規模上實現了跨越,更在產能利用率與特色工藝上展現了極強的防禦性。3.1 中芯國際 (SMIC):月產百萬片的歷史躍遷中芯國際作為中國大陸先進製程與規模化的雙重標竿,在2025年三季度迎來了一個標誌性時刻:其月產能(折合8英吋)首次突破100萬片大關,具體達到102.28萬片[9]。相較於2024年同期的88.43萬片,中芯國際在一年內新增了約13.85萬片產能,且增量幾乎全部集中在更具盈利能力的12英吋產線上 [9]。在財務與營運端,中芯國際2025年第二季度銷售收入為22.09億美元,雖然毛利率因前期裝置計入折舊及新品推廣略有波動,但其產能利用率在三季度攀升至95.8% [9, 10]。CEO趙海軍指出,公司目前的訂單量已經超過了實際產出能力,這種“供不應求”的局面主要由模擬晶片(快充、電源管理)、CIS圖像感測器以及車規級產品的強勁需求驅動 [10]。3.2 華虹半導體 (Hua Hong):超負荷運轉下的特色工藝盈利華虹半導體的2025年可以用“滿負荷”來形容。第二季度,華虹的產能利用率達到了驚人的108.3% [10]。儘管由於無錫新產線的折舊成本上升(物業及裝置折舊同比增加33%),導致其短期毛利率受壓,但從長遠來看,產能利用率的飽和證明了其在功率器件(超級結、IGBT)及嵌入式儲存器領域的工藝領先地位 [11]。資料來源:[10, 12]。華虹的另一重大看點在於產業整合。公司正籌備收購上海華力微電子股權,這一潛在交易若成功實施,將使華虹集團在成熟製程與准先進製程(28nm/14nm)之間形成更緊密的工藝矩陣 [11]。3.3 晶合整合 (Nexchip) 與積塔半導體:垂直賽道的專業化代工晶合整合在2025年穩固了其在全球顯示驅動晶片(DDIC)代工領域的霸主地位。上半年營收達51.98億元,歸母淨利潤同比大幅增長77.61% [13]。晶合整合的成功揭示了一個盈利邏輯:在LCD/OLED驅動、車載CIS等細分垂直市場做到極致,可以有效規避一線Foundry的價格戰,並享受規模經濟帶來的邊際效益提升 [13, 14]。積塔半導體則在汽車電子和功率器件代工賽道狂奔。隨著汽車架構向域控製器轉化,對高可靠性、高電壓工藝的需求爆發,積塔半導體作為擁有車規級全鏈條驗證能力的Foundry,其訂單可見度已排至2026年以後。燕東微(YDME)則通過多條生產線的協同,在軍事、工業等老牌製造領域保持了深厚的基本盤。4. 儲存晶片:從技術追趕到全球份額重構儲存晶片是半導體行業中產值佔比最高(約24%)且周期性最強的類股 [15]。2025年,中國儲存“雙子星”——長江儲存(YMTC)與長鑫儲存(CXMT)在全球市場的份額及技術影響力均實現了跨越。4.1 長江儲存 (YMTC):3D NAND 的突圍與成長作為中國唯一的3D NAND快閃記憶體廠商,長江儲存在經歷“實體清單”調整後,展現了頑強的生命力。2025年一季度,長江儲存營收突破10億美元,全球市場份額提升至8.10% [16]。隨著長存三期產能的逐步釋放,行業普遍預測其市場份額將最終衝向15% [15, 17]。長江儲存的技術優勢在於其獨創的Xtacking架構,這使得其在實現高層數(如232層及以上)堆疊的同時,能夠保持較小的晶片面積和極高的I/O速度。這種技術領先性使其在全球固態硬碟(SSD)市場,尤其是高性能消費級和企業級市場,具備了與三星、美光一較高下的實力。4.2 長鑫儲存 (CXMT):DRAM 產能的倍數級增長長鑫儲存在DRAM領域的追趕速度同樣驚人。2025年一季度其全球市場份額增至4.10% [16]。根據Counterpoint的預測,2025年長鑫儲存的出貨量將同比增長50%,整體出貨份額預計從年初的6%增至年底的8% [17]。長鑫儲存的戰略核心在於“產能規模化”。摩根士丹利預測,長鑫有望在2025年達到36萬片/月的產能水平,這將顯著降低其單位成本,使其在PC、移動端DRAM及利基DRAM市場更具競爭力 [15]。同時,隨著AI應用對LPDDR5及HBM(高頻寬儲存)的需求激增,長鑫的先進製程研發也將成為2026年的關鍵盈利看點。5. 功率半導體與IDM:新能源浪潮下的紅利挖掘在汽車電動化與太陽能儲能的加持下,功率半導體成為了中國半導體行業盈利確定性最高的細分領域之一。5.1 士蘭微 (Silan Micro):IDM模式的經營典範士蘭微在2025年交出了一份令人矚目的成績單。上半年公司實現營業收入63.36億元,歸母淨利潤扭虧為盈達到2.65億元,同比增幅超1100% [18]。其成功的關鍵在於“深耕汽車與太陽能市場”以及“規模效應攤薄成本”。•汽車業務:2025年上半年應用於汽車的IGBT和SiC產品營收同比增長80%以上,公司成功切入比亞迪、吉利、特斯拉等頭部車企供應鏈 [18]。•SiC突破:其第IV代平面柵SiC-MOSFET性能已接近溝槽柵水平,且晶片良率超90%,顯著高於國際85%的平均水平[18]。•太陽能優勢:士蘭微在太陽能領域的IGBT單管市佔率超40%,逆變器用MOSFET全球市佔率達9.8%,僅次於英飛凌 [18]。資料來源:[18]。5.2 安世半導體 (Nexperia) 與華潤微 (CR Micro):全球視野下的中國力量聞泰科技旗下的安世半導體在2025年表現出極強的韌性。第三季度半導體業務收入43.00億元,同比增長12.2%,毛利率高達34.56% [19, 20]。即便面臨地緣政治風波,其在中國市場的收入佔比仍升至49.29%,汽車業務收入增長超26% [19]。安世半導體的盈利能力證明了在全球功率分立器件市場,中國企業已具備成熟的IDM管理能力和全球管道優勢。華潤微作為國內領先的IDM巨頭,在2025年上半年實現營收52.18億元。雖然在利潤增速上略遜於爆發期的士蘭微,但其資產結構的穩健性和在消費電子、工業控制領域的滲透深度,使其保持了極高的市場佔有率 [18]。6. 2026年中國半導體發展趨勢與預測站在2025年的業績高峰迴望,2026年的中國半導體產業將呈現出從“單點突破”向“生態閉環”跨越的特徵。6.1 AI 基礎設施的“第二波”爆發與國產算力重構2026年,AI驅動的半導體增長將進入深水區。IDC預測,到2026年,資料計算類股的半導體營收將首次超過總營收的50% [2]。這一趨勢對中國而言意味著兩個層面的機會:1.AI伺服器晶片的高速增長:包括GPU、邏輯ASSP/ASIC以及配套的電源管理晶片。預計2026年AI加速卡市場規模將年增78% [4]。2.網路與儲存的補完:AI算力不僅看晶片性能,更看互聯速度。資料中心網路晶片(如高端乙太網路交換晶片)和高頻寬儲存(HBM)將在2026年迎來國產化高峰,預計相關市場年增27% [2, 4]。6.2 晶圓代工市場的全球份額再平衡預測顯示,到2026年,中國IC設計企業的產值佔全球市場份額將從2025年的40%進一步提升至45%,確立在該領域的全球領先地位 [4]。與之相對應的是,中國晶圓廠在國產替代政策驅動下,成熟製程的產能利用率將持續保持在90%以上的高檔水位 [4]。在製程演進上,全球主流Foundry正邁向2nm工藝,而中國本土Foundry在2026年的重點將是利用芯粒(Chiplet)和矽光子等專用技術,在現有先進製程受限的情況下,通過先進封裝技術實現性能上的“曲線救國” [2]。6.3 細分賽道的盈利關鍵與結構性機會資料綜合自 [4, 21, 22]。7. 盈利能力分析與投資洞察2025-2026年,中國半導體產業的盈利能力呈現出“馬太效應”與“成本分化”並存的格局。7.1 成本管控與規模效應的博弈士蘭微的案例深刻揭示了IDM模式在下行周期結束後的爆發力。通過自主晶圓線的滿負荷運轉,單位分攤成本的降低(12英吋IGBT晶片單片固定成本降至0.8元,遠低於代工模式的1.5元)是盈利提升的核心秘密 [18]。2026年,隨著更多國產12英吋產線度過折舊高峰期,行業整體的盈利中樞有望抬升。7.2 研發投入的“資產化”回報北方華創和中微公司極高的研發支出(佔營收10%-30%不等)在短期內壓縮了淨利潤,但從長遠看,這是建構長期盈利壁壘的唯一途徑[5, 6]。2026年,隨著多款新品(如ALD、先進刻蝕裝置)從驗證期進入大規模採購期,這些裝置企業的研發邊際成本將迅速下降,淨利潤彈性將遠高於營收增速。7.3 地緣政治與供應鏈重組的避險2026年,地緣政治引發的供應限制仍是最大變數。然而,美銀報告指出,AI基建的升級是強結構性的,甚至可以抵消部分總額經濟的不確定性 [21]。中國企業通過建立“本土供應閉環”,在成熟製程及特色工藝(如汽車級、工業級半導體)中正形成一種“內循環”式的盈利保障。8. 結論與展望綜上所述,2025年中國半導體產業已基本完成了從“逆風防守”到“順風進攻”的姿態轉換。北方華創、中微公司等裝置領軍者通過全產業鏈佈局夯實了基礎;中芯國際、華虹半導體則通過百萬片產能量級實現了規模經濟;士蘭微、聞泰安世等IDM廠商則利用新能源紅利實現了盈利的質變。展望2026年,人工智慧將不再是一個孤立的概念,而是滲透進從算力卡到電源管理、從HBM儲存到先進封裝的每一個半導體細胞中。盈利的關鍵點將聚焦於三點:第一,在先進製程受阻下通過Chiplet和3D封裝實現的性能突破;第二,在功率器件領域對第三代半導體良率與規模的絕對掌控;第三,在半導體裝置領域從“能用”向“好用”的跨越。中國半導體產業在2026年有望實現全球產值佔比的新高,其背後是中國日益成熟的產業鏈叢集效應。儘管波折難免,但技術自主化與需求智能化雙向奔赴的大趨勢,正引領中國半導體行業邁向一個更具生命力的兆級未來。 (YAH VS HYA)
這些賽道,晶片巨頭不玩了
輕裝上陣,正在成為半導體巨頭們的集體選擇。最近,世界半導體貿易統計組織(WSTS)上調了對全球半導體市場的增長預期。2025年市場規模預計同比增長22.5%,遠高於此前11.2%的預測;2026年有望再增長26.3%,達到9750億美元,距離兆美元大關僅一步之遙。這一輪增長的含金量,明顯高於前一年。2024年的繁榮很大程度上由輝達引領的AI晶片爆發和儲存行業的周期性反彈所驅動,呈現出“頭部集中、結構單一”的特點。而進入2025年,復甦正變得更為均衡。雖然AI和儲存仍是主要增長引擎,但市場其他類股目前也在強勁增長。2025年的半導體巨頭卻展現出一種出人意料的克制。台積電退出氮化鎵代工,恩智浦關閉曾被寄予厚望的GaN晶圓廠,美光徹底告別消費級儲存市場……半導體巨頭們不再追求“無所不包”的帝國版圖,而是開始系統性地卸下包袱,聚焦真正能穿越周期的核心賽道。輕裝上陣,正在成為半導體巨頭們的集體選擇。01. 退出清單,誰在離場?恩智浦:關停ECHO Fab,告別GaN 5G PA12月,恩智浦宣佈關閉亞利桑那州錢德勒的ECHO晶圓廠。恩智浦在發給Light Reading的電子郵件中表示:“近年來,由於移動營運商投資回報率低,5G部署速度放緩,全球5G基站部署量遠低於最初預期。鑑於市場現狀且復甦前景黯淡,射頻業務已不再符合公司的長期戰略方向。因此,恩智浦決定逐步縮減其射頻功率產品線。”ECHO晶圓廠於2020年9月正式啟動,當時5G技術正處於蓬勃發展的時候。於是,恩智浦砸了超過1億美元進行改造升級,採用了先進的6英吋碳化矽襯底工藝生產氮化鎵射頻器件。恩智浦將其定位為“當時最先進的同類工廠”,專為生產高效率、高功率密度的GaN PA晶片而建,旨在取代傳統LDMOS(橫向擴散金屬氧化物半導體)技術,成為5G基站的新“黃金標準”Omdia資料顯示,5G裝置收入從2022年的450億美元連續兩年下滑,2023年和2024年各減少50億美元。4G時代,恩智浦憑藉LDMOS PA幾乎成為行業標配,廣泛供應諾基亞Flexi系列乃至華為等主流廠商。到了5G時代,其技術響應速度明顯落後。ECHO晶圓廠的關閉,意味著恩智浦徹底放棄射頻功率這一曾是其核心優勢的業務線。現在,這座曾承載雄心的6英吋晶圓廠將於2027年第一季度完成最後一片晶圓的生產。台積電:退出GaN代工關於GaN,今年台積電也做了調整。今年7月,氮化鎵廠商納微半導體宣佈,旗下650V元件產品在未來1到2年內將從現有供應商台積電逐步轉由力積電代工。對此,台積電表示,經過完整評估後,考量市場與長期業務策略,決定在未來2年內逐步退出氮化鎵業務。此前,台積電曾經高調宣稱非常看好氮化鎵的發展前景,關注程度甚至高於碳化矽。台積電研發資深處長段孝勤曾表示,台積電在化合物半導體領域專注氮化鎵相關開發,歷經長期的發展氮化鎵已逐漸開始被市場接受,預計未來十年將有更多應用場景。2023年台積電已佔據全球GaN晶圓代工40%的市場份額,與德國X-Fab、台灣漢磊形成“一超兩強”的格局,成為矽基GaN技術路線產業化的關鍵推動者。台積電的退出,主要還是因為利潤原因。台積電向來以追求高利潤著稱,長期毛利率53%的目標不變。從業務優先順序和利潤角度來看,台積電的氮化鎵代工業務投片量相對較小,其當前6英吋晶圓月產能僅3000~4000片,且頭部客戶如納微半導體佔據了大部分產能,對整體營收的貢獻有限,難以達到台積電的利潤預期,因此被逐漸邊緣化並最終退出。羅姆總裁表示,台積電退出氮化鎵(GaN)代工業務的決定“對我們造成了巨大打擊”。不過,台積電的退出也為二線代工廠留下了窗口。就在台積電淡出之際,納微半導體迅速與力積電達成合作,共同推進8英吋矽基氮化鎵(GaN-on-Si)技術的量產。美光:結束Crucial品牌,專注企業級儲存12月,美光宣佈,決定退出Crucial(英睿達)消費級業務,包括在全球主要零售商、電商平台和分銷商處銷售英睿達消費品牌產品。美光方面表示,將通過消費管道繼續供應英睿達消費級產品,直至明年2月。美光的消費級“Crucial”品牌過去主要通過儲存模組和固態硬碟(SSD)的形式,銷售給DIY玩家或升級筆記型電腦的硬體發燒友使用。對於退出Crucial業務,美光執行副總裁兼首席商務官Sumit Sadana表示:“由AI驅動的資料中心增長,帶來了對記憶體和儲存的強勁需求。美光已經做出了一個艱難的決定,即退出Crucial消費級業務,以便在增長更快的類股,更好地為我們規模更大的戰略性客戶提供供應和支援。”美光公司做出這一決定背後有兩個原因。第一,消費級儲存市場利潤微薄、競爭激烈,長期拖累整體產品組合的盈利能力。Crucial品牌雖在硬體愛好者中有一定口碑,但定位尷尬,夾在高端發燒友品牌和低端消費品牌之間。相比之下,資料中心和企業級產品則擁有長期合同、更高的平均售價以及更可預測的需求。第二,AI爆發催生HBM等高價值產品需求,美光把有限產能向高回報領域傾斜。根據美光測算,全球HBM市場規模將從2023年的40億美元增長至2025年的250億美元,年復合增速高達150%以上。每台AI伺服器配套的HBM容量已從傳統伺服器的128GB提升至1TB以上,成為AI基礎設施的關鍵成本項。在2024年9月的財報電話會上,美光CEO Sanjay Mehrotra透露,公司HBM產品單季收入已接近20億美元,相當於年化80億美元規模。SK海力士官宣關閉CIS部門今年剝離業務的儲存大廠不只有美光,還有SK 海力士。SK海力士今年3月宣佈,結束圖像感測器(CIS)事業部門,並將數百名相關人員統一轉換為人工智慧(AI)儲存器領域。於是,SK海力士長達17年的CIS業務征程隨之結束,也標誌著韓國半導體產業在中低端晶片領域的又一次收縮。SK海力士的CIS業務始於2008年對韓國設計公司Siliconfile的收購,曾推出對標索尼的“黑珍珠”系列感測器,並一度打入三星Galaxy折疊屏手機供應鏈。在2021年時,SK海力士的手機CIS業務收入達到頂峰,並且佔據了當時超過7%的市場份額。但受限於索尼、三星的專利壁壘,SK海力士始終未能突破高端CIS市場。從2022年開始,SK 海力士的收入開始下滑,並在2024年降至最低點。由於利潤空間的壓力,SK海力士未能擴大出貨量。在如今AI對儲存需求旺盛之際,SK海力士選擇退出該業務,也在情理之中。同樣的,SK 海力士的退出也為豪威、格科等國內CIS企業留下了新的增長機會。聞泰科技:全面剝離ODM業務今年,聞泰科技發佈重大資產出售預案,以現金方式向立訊精密及立訊通訊(上海)轉讓昆明聞訊、黃石智通、昆明智通、深圳聞泰、香港聞泰(含印尼聞泰)100%股權,以及無錫聞泰、無錫聞訊、印度聞泰的業務資產包。本次交易完成後,聞泰將徹底告別支撐其成長十餘年的ODM業務。此前,ODM業務是聞泰科技的核心業務,是營收的絕對主力。2023年和2024年,聞泰科技產品整合業務收入分別為443.15億元、584.31億元,佔公司營業收入的72.39%、79.39%。但自2022年起,這一業務進入了虧損狀態。2022年至2023年,產品整合業務淨虧損分別為4.47億元和15.69億元。2024年上半年,儘管這一業務收入同比增長26.68%達到261.2億元,但淨利潤卻大幅虧損8.5億元。聞泰科技解釋稱,虧損的原因主要是由於公司被列入實體清單,導致公司產品整合業務(ODM業務)未來新項目的獲取存在較大不確定性,進而對相關資產計提了減值。剝離ODM業務後,聞泰科技半導體業務的核心價值徹底釋放。從第三季度業績來看,聞泰科技半導體業務實現收入43.00億元,同比增長12.20%,業務毛利率為34.56%,淨利潤7.24億元。聞泰科技半導體業務收入在中國市場創下新高,同比增長約14%。其中,汽車業務收入同比增長超過26%,AI 伺服器、AI PC 等計算裝置及工業相關業務收入增長顯著。中國市場收入佔全球總收入的49.29%。02. 退出,是另一種進攻回看2025年的半導體行業,與其說是“退潮”,不如說是“校準”。巨頭們的退出主要是由四大結構性因素共同驅動:一、市場需求結構性萎縮。5G基站建設放緩、智慧型手機攝影機數量見頂,部分賽道從高速增長進入調整期。二、競爭格局惡化,盈利無望。在消費級儲存、ODM、CIS等領域,頭部廠商憑藉成本、供應鏈和本地化優勢快速擠壓對手。後進者若無技術或生態壁壘,只能接受微利甚至虧損。與其長期“陪跑”,不如主動退出。三、技術路線分化,必須聚焦。寬禁帶半導體中,GaN適合高頻快充,SiC更適合高壓電驅;儲存領域,HBM、CXL記憶體成為AI剛需。技術路徑日益清晰,企業必須“押注”而非“撒網”。四、資本開支壓力倒逼戰略收縮。2nm製程、HBM4等,隨著半導體技術的不斷進步,每一項前沿佈局都需要巨額的投入。在資本效率至上的當下,剝離低回報業務成為釋放現金流、支撐核心研發的必要手段。退出,是另一種進攻。2025年,邏輯晶片營收有望同比增長37.1%;其次是儲存晶片,營收同比增長27.8%,成為增長最強勁的兩大品類。感測器營收將同比增長10.4%;微處理器營收同比增長7.9%;模擬晶片營收同比增長7.5%;光電子元件營收同比增長3.7%;受汽車領域需求疲軟影響,分立元件營收同比下滑0.4%。展望2026年,邏輯與儲存仍將以超30%的增速領跑全域。WSTS的預測清晰揭示了行業的重心:AI伺服器、資料中心、高性能計算正在驅動新一輪結構性增長。在逼近兆美元的半導體新周期裡,真正的進攻,始於敢於退出。 (半導體產業縱橫)
中芯國際漲價10%背後:一場半導體產業鏈的“壓力測試”與價值重估
2025年12月23日,中國最大的晶圓代工廠中芯國際(SMIC)向部分客戶發出漲價通知,對部分產能實施約10%的價格上調。這一消息迅速在業內引發震動。此次漲價並非全面鋪開,而是主要集中於8英吋BCD工藝平台,並已向多家客戶發出正式函件。一家晶片上市公司人士向媒體證實:“我們已經接到了漲價通知,每家客戶漲價情況不盡相同。”01 漲價實錘,並非空穴來風中芯國際此次漲價,是多重因素擠壓下的必然結果。從表面看,這是一次成本驅動的價格調整,但其背後,是全球半導體供需結構、地緣政治與產業周期三重力量交織的複雜圖景。根據中芯國際2025年第三季度財報,其產能利用率已攀升至95.8%,生產線處於供不應求的狀態。華虹公司的產能利用率甚至高達109.5%,超過滿載。“整體來說,當前公司產線仍處於供不應求狀態,出貨量還無法完全滿足客戶需求。”中芯國際聯合首席執行長趙海軍在業績說明會上坦言。02 三重推力:成本、產能與安全此次漲價的動因,可以歸結為三個相互強化的邏輯。首先是成本端的剛性上漲。 半導體製造的“變動成本”正在經歷劇變。高端光刻膠、電子特氣等關鍵材料仍高度依賴海外供應商,受匯率波動及地緣政治影響,價格普漲。同時,封裝關鍵材料ABF載板的上游原材料與石油、銅價深度掛鉤,大宗商品周期的共振進一步推高了成本。其次是產能的結構性錯配與極度緊張。 這並非總量短缺,而是由AI需求爆發引發的“擠出效應”。AI伺服器需要大量電源晶片,佔用了大量BCD工藝產能。與此同時,全球代工巨頭台積電確認整合併計畫關停部分8英吋生產線,轉向先進製程,導致成熟製程的供給缺口進一步擴大。產業調研資料顯示,頭部模擬晶片廠商在中芯國際的晶圓交期,已從標準的6-8周惡化至12-14周,2026年的產能預定已近乎“爆單”。最後是“供應鏈安全溢價”成為新的定價基石。 在當前地緣政治背景下,國產替代已從“可選項”變成了國內系統廠商的“必選項”。華為、小米、比亞迪等公司制定了更為激進的“本土製造比例”指標,過去可以找聯電、格羅方德代工的訂單,現在正回流到中芯國際、華虹等國內代工廠。客戶沒得選,這賦予了本土晶圓廠前所未有的議價底氣。03 產業鏈的傳導:誰將受益,誰將承壓?中芯國際的漲價如同一塊投入湖面的石子,其漣漪將沿著半導體產業鏈層層傳導,對上下游企業進行一場殘酷的“壓力測試”。最直接、邏輯最順的受益者,是半導體裝置與材料公司。 晶圓廠盈利改善後,將有更強的動力和能力進行資本開支擴張,以增加產能。這直接利多國產裝置龍頭如北方華創、中微公司、拓荊科技,以及材料供應商如安集科技、滬矽產業、江豐電子等。這些公司與中芯國際合作緊密,是國產替代的核心賽道。其他晶圓代工廠將迎來“水漲船高”的行業性利多。 作為中國大陸第二大晶圓代工廠的華虹半導體,以及華潤微、晶合整合等,有望跟隨漲價或改善盈利環境。晶片設計公司的命運將劇烈分化。 這對於設計公司而言是成本壓力測試。具備強大技術壁壘和議價能力的龍頭公司,如專注於汽車電子、高性能模擬的細分龍頭,因其產品毛利率高(通常在40%-60%),且下遊客戶對價格不敏感,有能力將部分成本傳匯出去。然而,對於依賴成熟製程、產品同質化嚴重、毛利率僅20%-30%的通用晶片設計公司(如低端MCU、消費類電源管理晶片廠商),這可能是毀滅性打擊。上游漲價、下游砍價,其淨利潤可能直接歸零。2026年,或將成為這批缺乏核心競爭力的設計公司的“出清之年”。04 戰略轉折:從價格接受者到定價參與者中芯國際此次調價,其意義遠超一次簡單的財務行為。它標誌著中國半導體產業正在進入一個新階段:從“以價換量”的卑微追趕者,轉變為擁有一定“定價權”的區域性寡頭。就在不到一年前,行業還在擔憂產能過剩帶來的價格壓力。2024年中國新增了18座晶圓廠,業界曾預測2025年成熟製程價格將繼續承壓。中芯國際管理層在2025年初也曾表示,由於產能增長和同業競爭,預計全年平均售價總體將下降。然而,市場的快速變化超出了預期。AI需求的爆發性增長、地緣政治導致的供應鏈重構,以及國際巨頭產能策略的調整,共同扭轉了供需天平。中芯國際此番漲價,不僅是對成本上升的被動應對,更是在產能成為稀缺資源時,主動進行客戶與產品結構最佳化的戰略舉措。通過價格機制,優先保障高價值、戰略性的客戶與訂單。當市場還在爭論漲價能否持續時,另一家平台型晶片設計公司的從業者透露,他們已同時接到中芯國際和世界先進(VIS)的漲價通知。“未來免不了其他幾家主流的晶圓廠跟風漲價。”一位業內人士如此判斷。全球成熟製程晶圓代工的新一輪漲價潮,或許才剛剛開始。 (SEMI半導體研究院)
印度晶片,真幹成了?
過去幾年,印度始終處於「半導體新希望」的輿論中心:巨額補貼、政要背書、百億美元投資承諾屢見不鮮。但印度能否真正把半導體做起來,業界始終褒貶不一。然而,近期蘋果與英特爾計畫將封裝業務轉向印度的動向,正迫使產業重新審視印度的半導體底牌。長期以來,印度的產業生態重“設計”而輕“製造”,雖聚集了AMD、輝達、英特爾、博通、三星半導體、恩智浦、美光科技、Microchip等20多家頂尖晶片設計巨頭,但在製造環節一直處於邊緣。根據印度政府近期發佈的新聞稿稱,印度半導體市場在2023年的價值約為380億美元,預計到2025年底將增長至450億至500億美元,並在2030年進一步擴大至1000億美元至1100億美元。同時,印度屆時的半導體消費量可望佔全球總消費量的約10%(IT&IF 報告)。這一成長軌跡凸顯了印度致力於成為全球半導體價值鏈關鍵參與者的戰略重點。蘋果找上印度封裝廠根據印度《經濟時報》披露,蘋果已與穆魯加帕集團旗下的CG Semi 進行初步磋商,討論在印度進行晶片封裝以及與iPhone 組裝相關的合作可能性。 CG Semi 正在古吉拉特邦薩納恩德(Sanand)建造一座半導體委內瑞拉封測(OSAT)工廠。需要強調的是:這並非“已簽約”,而是初期接觸階段,雖然尚未確定具體封裝那類晶片,市場推測可能與顯示相關晶片有關(如顯示驅動IC、周邊控制晶片)。但即便如此,這個訊號依然夠重要。為什麼是“封裝”,而不是晶圓?其實原因很簡單:封裝是半導體產業裡,技術門檻相對最低、資本密度相對可控且最容易與終端製造形成協同的環節。而再看向目前iPhone的顯示鏈條,大致是:1)面板由三星顯示、LG 顯示、京東方所供應;2)驅動IC由三星、聯詠、奇景、LX Semicon提供;3)製造和封裝高度集中在中國大陸、台灣、韓國。如果蘋果希望在2026年前,將銷往美國的大多數iPhone 轉移到印度生產,那麼封裝環節一定是繞不開的配套基礎設施。把封裝留在東亞,而把整機搬到印度,從成本、交期、良率管理上,都是不合理的。但蘋果供應商,從來不是「給錢就能進」。有知情人士也明確指出,蘋果對製程穩定性、良率、長期交付能力要求極高,最終能透過稽核的廠商屈指可數,蘋果也在同步評估其他封裝與供應鏈節點廠商。換句話說,即使這不能代表“印度晶片已經被蘋果認可”,但是“印度,第一次被放進了蘋果的候選名單”。對印度來說,這已經是質變。英特爾同樣押注“印度封裝”,但邏輯不同幾乎在同一時期,英特爾也開始系統性地將目光投向印度的封裝與製造體系。英特爾的邏輯,與蘋果並不完全一致。蘋果是終端+供應鏈主導者,封裝是服務整機製造的「必要條件」。英特爾則是IDM+Foundry 雙軌公司,封裝則是其先進流程以外的「策略拼圖」。在Chiplet 架構、先進封裝(EMIB、Foveros)成為主流之後,封裝已經從“後段製程”,升級為系統架構的一部分。2025 年12 月8 日,印度塔塔集團與英特爾正式宣佈建立策略聯盟,雙方將圍繞半導體製造、封裝測試以及消費級與企業級硬體賦能展開合作,目標是共同推動印度本土半導體生態體系的建設。這項合作被外界視為,印度在建構「具備地域韌性」的電子與半導體供應鏈方面,邁出的關鍵一步。圖源:Tata electronics根據雙方簽署的諒解備忘錄(MoU),英特爾計畫與塔塔電子在其即將投產的晶圓廠與OSAT 工廠中,探索為印度市場生產與封裝英特爾相關產品,並在此基礎上推進先進封裝技術的本地化合作。同時,雙方也將評估在印度市場快速擴展客制化AI PC(人工智慧個人電腦)解決方案的可行性。英特爾將提供AI 計算參考設計,塔塔電子負責發揮其在電子製造服務(EMS)領域的工程與交付能力,並依託塔塔集團在印度市場的資源網路進行落實。根據《印度快報》報導,塔塔計畫在印度投資約140 億美元建造兩座半導體工廠:一座位於古吉拉特邦的晶圓製造廠,預計2027 年中期啟動晶片生產;一座位於阿薩姆邦的OSAT 工廠,預計2026 年投產。儘管兩座工廠分處不同邦區,但在塔塔集團的整體半導體策略中,它們分別承擔著前段製造與後段封裝的關鍵節點角色。在該專案中,英特爾並非以直接投資者身份出現,而是以技術顧問角色深度參與,涵蓋製造與封裝相關的製程和體系建設。英特爾在全球佈局封裝產能,本身就是其IDM 2.0 策略的一環。而印度提供的是:政策補貼、成本優勢、地緣政治「安全屬性」。英特爾未必會把最先進的封裝技術放在印度,但成熟封裝、測試、模組級整合,完全有現實空間。業界普遍認為,英特爾的參與,既是對塔塔電子工程執行能力的認可,也反映出其對印度半導體政策框架的判斷——尤其是印度政府在製造、封裝及先進製造環節持續加碼的補貼與激勵機制,正在逐步降低高資本、高複雜度半導體項目的進入門檻。英特爾也與印度方面討論封裝合作。 2025年12月8日,總部位於印度的全球企業塔塔集團與英特爾公司宣佈建立策略聯盟,雙方將探討在消費和企業硬體賦能、半導體和系統製造領域的合作,以支援印度本土半導體生態系統的發展。此次合作標誌著在建構印度本土的、具有地域韌性的電子和半導體供應鏈方面邁出了關鍵一步。印度半導體的真實進展印度超過90%的半導體需求依賴進口。它使印度極易受到全球供應鏈中斷的影響,例如新冠疫情期間的晶片短缺。此外,它還會影響國家安全,限制創新,並加劇經濟壓力。為了降低這些風險並滿足不斷增長的需求,印度政府於2021年12月啟動了印度半導體計畫(ISM),耗資100億美元,致力於建構一個自給自足的半導體生態系統。下圖是目前「印度半導體計畫(ISM)」已經核准的製造項目狀況:(資料來源:india-briefing)在封裝製造領域,如果把時間軸拉長,會發現印度正在非常清楚地「重走一條老路」:第一步:封裝(OSAT)。美光科技23年6月在薩南德興建一座封裝與測試工廠。美光計畫分兩期投資8.25億美元興建該工廠,古吉拉特邦政府和印度聯邦政府將承擔另外19.25億美元的資金。封裝是目前最明確、也是推進最快的環節。其特點是投資門檻相對低、技術以成熟製程為主、與整機製造(手機、伺服器)協同明顯。這一步驟,對應的是中國大陸2005–2015年間的封測擴張階段。日本的瑞薩電子、泰國晶片封裝公司星辰微電子和印度CG Power and Industrial Solutions公司先前宣佈成立一家合資企業,在古吉拉特邦薩南德市投資9億美元建設一座封裝工廠。該工廠將提供引線鍵合和倒裝晶片封裝技術。 CG Power and Industrial Solutions是一家總部位於孟買的家電、工業電機和電子產品公司,將持有該合資企業92%的股份。步驟二:晶圓廠(Foundry)。印度已宣佈多項晶圓廠計畫,包括:以成熟過程為主(28nm / 40nm 及以上),多為政府補貼+ 海外技術方合作模式。例如,印度的首個晶圓廠是PSMC與塔塔電子投資110億美元的合資企業,該晶圓廠將具備28奈米、40奈米、55奈米和110奈米晶片的生產能力,月產能達5萬片晶圓。但要冷靜看待,因為晶圓廠真正量產、爬坡、穩定供貨,至少5–8 年周期。此外,人才、良率、供應鏈完整度,仍是最大瓶頸第三步:設計公司與系統廠。這是印度最被高估、但也最有潛力的部分。印度擁有大量IC 設計工程師,但長期集中在跨國公司研發中心、外包式、模組化設計、缺乏完整的本土產品型晶片公司。在晶片設計領域,12月15日,印度首款1.0 GHz 64位元雙核心微處理器DHRUV64的發佈,也再次為印度的半導體計畫增了一份信心。據瞭解,印度消耗了全球約20%的微處理器。 DHRUV64是由印度先進運算發展中心(C-DAC)在微處理器發展計畫(MDP)架構下自主研發的微處理器。 DHRUV64 是在DIR-V 計畫下製造的第三款晶片,第一顆晶片THEJAS32在馬來西亞Silterra 工廠製造,第二款晶片THEJAS64由莫哈利半導體實驗室(SCL) 在國內生產。此外,DHANUSH64 和DHANUSH64+系統晶片(SoC) 變體的設計、實現和製造目前正在開發中。印度像不像當年的中國?答案是:像,但不完全一樣。相似之處在於都從封裝切入,都依託終端製造轉移,都藉助地緣政治窗口期。不同之處在於,中國當年擁有更完整的製造業生態,中國市場規模本身就是最大“護城河”,中國是“先市場、再技術”,印度是“先政策、再市場”。除了ISM計畫,印度還有:1)數位印度RISC-V(DIR-V)計畫:於2022年4月啟動。該計畫促進了印度先進RISC-V處理器的研發。其目標是將研究人員、新創企業和產業界納入共享的設計生態系統,從而加強合作與創新。2)晶片到新創公司(C2S) 計畫:C2S 計畫由印度電子資訊技術部(MeitY) 於2022 年啟動,是一項能力建設舉措,涵蓋113個機構,包括100 個學術和研發機構以及13 家新創企業和中小微型企業。該計畫為期五年,預算為25億盧比。 C2S 計畫旨在培養85,000 名具備產業所需能力的人才,並在印度創造一個充滿活力的無晶圓廠晶片設計生態系統。3)設計關聯激勵(DLI) 計畫: DLI 計畫於2021 年啟動,旨在為積體電路(IC)、晶片組、系統單晶片(SoC)、系統和IP 核心以及半導體關聯設計的半導體設計開發和部署的各個階段提供財政激勵和設計基礎設施支援,為期5年。4)印度奈米電子使用者計畫-從構思創新(INUP-i2i):該計畫由印度電子資訊技術部(MeitY)發起,旨在為研究人員、學生和新創公司提供使用一流機構國家奈米製造設施的機會。它提供晶片和裝置製造方面的實務培訓,幫助創新者瞭解半導體元件的建造方式。2025年7月,印度電子資訊技術部(MeitY)批准了來自新創公司、微型、小型和中型企業(MSME)以及學術機構的23個晶片設計專案。截至目前,已有10家新創公司獲得風險投資,6家公司在國際代工廠完成了原型晶片的流片,17家機構在旁遮普邦莫哈利半導體實驗室製造了20種晶片設計。該計畫的核准預算已達80.3億印度盧比,為設計和原型製作提供高達50%的成本補貼(上限為1.5億印度盧比),並根據淨銷售額提供為期五年、與業績掛鉤的4%至6%的獎勵(上限為3億印度盧比)。印度的優勢,不在於技術領先,而在於「被需要」,全球客戶都希望印度能提供更具韌性的供應鏈。但其不足也顯而易見,印度基礎設施的不足,例如對超純水和穩定電力的需求,也構成了障礙;高昂的製造廠建設成本使得私人投資難以實現,而且微電子和材料科學領域的專業人才也十分短缺。寫在最後所以,印度晶片,真幹成了嗎?如果問題是:印度能否在短期內,成為中國、台灣、韓國等級的半導體製造中心?答案是:遠遠沒有。但如果問題是:印度是否已經跨過“純口號階段”,進入真實產業博弈?答案是:是的,而且才剛開始。蘋果、英特爾並不是來「扶貧」的。他們只在一個前提下進入:這裡,開始具備「可被納入全球供應鏈」的最低條件。封裝,是印度晶片故事的起點,而不是終點。它不是“印度晶片成功的標誌”,但很可能是成功之前,唯一現實的一條路。 (半導體產業觀察)
從替代走向共創,中國模擬晶片走到那一步了?
中國模擬晶片市場增速持續快於全球,在汽車與泛能源等需求快速演進的背景下,行業競爭正從早期的替代邏輯,轉向更依賴貼近客戶、聯合創新與長期能力建設的階段在半導體產業中,長期以來,模擬晶片被認為是一條節奏相對平緩的賽道。即便在行業周期波動明顯的年份,其市場起伏幅度也相對有限,這種特徵一度使其顯得穩定而保守。然而,近年來,其變化正在中國市場加速顯現。隨著新能源、汽車電子等新應用集中釋放需求,中國模擬晶片市場的增長速度持續快於全球平均水平,越來越多新的應用場景在這裡率先落地,並推動產品形態不斷演進。需求側的變化,也在重塑競爭方式。在汽車電子、泛能源等領域,市場關注的重點逐漸從單一器件是否可替代,轉向系統適配能力、可靠性水平以及能否支撐長期、穩定地量產交付。換言之,簡單的等價替代,正在被深度協同創新與全鏈路價值供給所取代。2025年12月,納芯微(02676.HK;688052.SH)成功登陸香港聯合交易所主機板,成為模擬晶片行業少數坐擁“A+H”雙資本平台的企業。以2024年汽車模擬晶片收入計,這家公司已躍居中國廠商首位,成為賽道代表性玩家。在此次上市後的媒體溝通會上,納芯微創始團隊圍繞行業增速、產品演進路徑、出海挑戰、財務壓力及中長期規劃展開了深度探討,同時也是對中國模擬晶片所處階段的集中呈現。中國模擬晶片加速期,需求來自那?從公開資料看,模擬晶片仍是半導體各細分領域中增長節奏相對穩定的一類。納芯微創始人、董事長王升楊在媒體溝通會上透露,過去五年全球模擬晶片市場年均增速約為10.2%,在經歷庫存調整後,未來數年的增長預期仍保持在接近8%的水平。其中,中國市場的增長節奏更快。納芯微創始人、董事長王升楊 圖源:企業王升楊告訴《財經》,這一差異與下游產業結構變化密切相關。當前,泛能源與汽車電子是模擬晶片需求增長最為集中的兩個方向,並且都處在持續演進中,而不是一次性放量的階段。據王升楊介紹,目前,在泛能源領域,從發電側到用電側,功率控制、隔離與感測等需求持續擴展;在汽車領域,從新能源車三電系統、車身控制、熱管理,到智能駕艙與輔助駕駛,模擬晶片幾乎貫穿整車電子架構,“這些應用對可靠性、功能安全和長期供貨能力提出了更高要求”。儘管需求旺盛,但並未降低行業進入門檻。截至目前,汽車模擬晶片領域的國產化比例約為10%。這意味著市場空間客觀存在,但質量控制、工程化能力和體系建設,仍是難以繞開的約束條件。在這一背景下,替代邏輯正在發生變化。王升楊始終認為:“中國的晶片公司真正的價值並不是做歐美廠商的簡單替代,中國廠商真正的優勢應該是憑藉著我們和行業頭部客戶距離更近、合作更緊密的優勢,面向這個持續演進和創新的行業,去共同做聯合創新。”這一認知來自親身實踐。王升楊提到,在新能源汽車三電系統、超聲波雷達、車載音訊等領域,越來越多的合作並不是從“替換某一顆晶片”開始,而是在方案階段就引入晶片廠商參與協同設計。他始終強調,聯合創新正在成為中國模擬晶片參與競爭的重要方式。走到行業前排,規模擴張與盈利拐點平行2025年12月,納芯微在港股掛牌,成為模擬晶片行業中少數建構“A+H”雙資本平台的企業之一。按2024年汽車模擬晶片收入計算,其在中國汽車模擬晶片市場中位列中國廠商第一。納芯微正式在香港聯合交易所主機板掛牌上市 圖源:企業這一位置使其在汽車電子這一關鍵應用領域率先承接行業變化帶來的複雜性。一方面,出貨規模擴大、產品覆蓋面提升;另一方面,增長、出海與盈利壓力也更早集中顯現。在海外市場問題上,王升楊向《財經》表示,出海並不存在“順勢而為”的捷徑。地緣政治不確定性、合規體系建設、文化與流程差異,以及複合型國際化人才的獲取,都是中國晶片企業必須面對的現實問題。部分海外客戶對供應鏈佈局提出更高要求,也對企業組織能力和營運效率形成挑戰。財務層面的挑戰同樣清晰。資料顯示,截至2025年,納芯微已連續三年處於虧損狀態。對此,王升楊並不避諱,他坦言,虧損並非由單一因素造成,而是行業價格競爭加劇、規模效應尚未充分釋放,以及持續投入底層技術和產品平台等多重因素疊加的結果。在當前階段,成本前置仍然不可避免。不過,圍繞扭虧,納芯微給出了明確的時間節點和路徑規劃。王升楊表示,2026年,公司將扭虧為盈;2028年則被視為實現公司健康盈利的重要節點。在此之前,公司的核心任務集中在兩個方面:一是通過產品線擴展和客戶覆蓋提升出貨規模,逐步攤薄固定成本;二是最佳化產品結構,提高汽車與泛能源等高可靠性、高附加值應用的收入佔比。在營收層面,公司給出的中長期目標同樣清晰。納芯微創始團隊預計,到2029年,公司整體營收有望超過10億美元,其中,海外收入佔比提升至約20%。王升楊對《財經》表示,這一目標並非寄希望於單一市場放量,而是建立在多條產品線持續放大、國際客戶逐步落地以及全球銷售體系逐步完善的基礎之上。在產業政策問題上,納芯微的態度也更加務實。王升楊認為,產業早期政策支援有助於行業成長,但在當前階段,更有效的方式是通過使用端形成市場牽引,而非長期依賴直接補貼晶片企業。在他看來,車載模擬晶片等領域正在回到以產品和能力為核心的市場化競爭。談及更長周期,王升楊表示:“中國化趨勢不可逆轉,在中國晶片產業的未來大有可為,這是時代帶給這個行業的機會。”同時他預計,未來十年到二十年,中國一定會出現一家或幾家世界級的晶片企業。而在這一判斷之下,規模、成本、技術複雜度以及全球市場競爭能力,仍然是擺在行業與中國晶片企業面前的現實考題。 (財經汽車)
美國半導體版圖,太強了
過去三年,美國圍繞半導體展開了史上最大規模的產業重構。從《CHIPS Act》補貼,到各州競相引入晶圓廠,再到巨頭擴建研發中心,美國的半導體全圖正在加速改變。SIA所繪製的下面的這張美國半導體生態系統圖密密麻麻的點展示了該行業的廣度,其中包括進行研究和開發 (R&D) 的地點、智慧財產權和晶片設計軟體提供商、晶片設計、半導體製造(晶圓代工),以及半導體製造裝置和材料供應商的製造地點。下面,我們按州和區域維度,系統梳理美國半導體產業的空間佈局與功能分工。(一)加州:全球最大的“設計—軟體—IP—裝置”綜合叢集美國Fabless公司大都集中在加利福尼亞州(CA),以 San Jose(聖荷西)—Santa Clara(聖塔克拉拉)—San Diego(聖地牙哥) 為主軸,並向 Irvine(爾灣)、Los Angeles(洛杉磯)、Seattle(西雅圖)延伸,共同構成了一條覆蓋 GPU、AI、移動通訊與伺服器 SoC的“計算創新走廊”。加州半導體生態佈局情況在這一帶,幾乎所有最具影響力的晶片設計企業都集聚於此,包括NVIDIA、AMD、Broadcom、Qualcomm、Marvell、MediaTek、Silicon Labs(芯科科技)、Synaptics(新突思)、Cirrus Logic、Socionext(索喜)、Navitas(納微半導體)、Ampere(安霸)、SiFive、Lattice Semiconductor(萊迪思)等一系列企業。這些Fabless企業是美國晶片創新體系的“源頭活水”。除了 Fabless 之外,加州更是全球EDA與IP的核心樞紐——Synopsys覆蓋矽谷多個城市、Cadence位於聖荷西與波士頓、Arm與 Ansys均在聖荷西,構成全球晶片設計工具鏈與 IP 生態的絕對中心。同時,加州在半導體裝置與材料領域同樣具有系統性優勢:ASML美國總部坐落於 Silicon Valley(矽谷),Lam Research 分佈在 Fremont(弗裡蒙特)與 Livermore(利弗莫爾),應用材料位於Santa Clara(聖塔克拉拉)與 Sunnyvale(森尼韋爾),KLA在 Milpitas(米爾皮塔斯)設有製造與研發中心,並與Entegris、Coherent、Wacker Chemical 等材料供應商共同構成美國最完善的先進製造裝備生態。總的來說,加州依然是美國半導體的“大腦”,掌控演算法、設計、EDA、裝置、IP這四大核心控制點,牢牢鎖住全球價值鏈高點。(二)亞利桑那州:美國新晶圓製造中心(TSMC + Intel雙引擎)過去 20 年,美國晶圓製造重心從加州、奧勒岡逐漸南移至亞利桑那(AZ),主要原因有:供應鏈安全性(遠離地震帶),環境與建設政策更寬鬆、土地,電力等基礎條件顯著更優,CHIPS Act 資金重點投向亞利桑那州。亞利桑那州半導體生態佈局情況在亞利桑那州,台積電的鳳凰城工廠是先進製程核心基地、英特爾的錢德勒工廠是美國本土最關鍵先進製程研發中心之一、Amkor位於皮歐立亞的工廠是美國最大OSAT基地、此外,還有NXP / onsemi / Analog Devices / 英飛凌 / Marvell / Qorvo / Cirrus Logic 等 IDM與Fabless全面佈局。更值得注意的是,亞利桑那具備美國最完善的半導體材料供應體系,包括SUMCO、Air Liquide、LCY Chemical、Sunlit Chemical、JX Nippon、Solvay 等關鍵材料鏈條;裝備能力由ASM、Applied Materials、Onto Innovation、Yield Engineering Systems 等覆蓋,形成完整製造裝備生態;科研力量由Arizona State University(亞利桑那州立大學)與 University of Arizona(亞利桑那大學)提供持續的人才與技術支撐。在美國,亞利桑那州可以說是唯一同時擁有:先進製程(TSMC + Intel)+ OSAT(Amkor)+ 材料體系 + 裝備體系 + IDM + Fabless + 大學叢集的完整半導體生態區間。美國正在亞利桑那建構一座“第二個台灣竹科”:在本土重建從先進製程到系統封裝的完整能力,並避免全球製造鏈的地緣政治風險,讓亞利桑那州成為未來10~20年美國晶圓製造的主軸地帶。(三)德州:美國最大的IDM + MCU + 汽車電子中心德州半導體生態佈局情況從地圖分佈可以看到,Marvell、MediaTek、Cirrus Logic、AMD、IBM、Silicon Labs、NVIDIA 在德州均設立重要設計中心,包括Austin(奧斯汀)、Dallas(達拉斯)、Allen(艾倫)。與加州不同,德州並非傳統意義上的Fabless起源地,但卻長期沉澱了兩項關鍵優勢:完整而龐大的車規與電源晶片體系(特別是電動汽車與智能能源方向)深厚的嵌入式應用需求(工業、能源、石油、電力、製造業等產業基礎密集)核心力量包括:德州儀器(達拉斯 + Sherman + Richardson)、三星(Austin + Taylor)、恩智浦(Austin)、GlobalWafers(Sherman)、Applied Materials(Austin)。正因為這種“需求驅動 + 製造根系”的結構,Austin 逐步演變為美國第二重要的 AI SoC + MCU + DSP + 車規半導體創新支點,並在汽車、電力與能源基礎設施賽道中孕育出與加州不同的技術增長曲線。值得注意的是,隨著特斯拉、重卡與電力電子向德州轉移,這裡正在出現一個新的趨勢:車規功率—嵌入式—AI 控制—MCU 正形成高度耦合的創新鏈條,使 Texas 從“配角”變成美國半導體版圖中無法替代的新增長極。(四)東北:科研 + R&D 的頂級科研走廊從New York(紐約州)—Massachusetts(麻薩諸塞,MA)—New Jersey(紐澤西,NJ)延展的“東北科技走廊”是美國科研密度最高的半導體區域,這裡聚集了MIT(麻省理工)、Harvard University(哈佛大學)、Boston University(波士頓大學)、Northeastern University(東北大學)、Cornell University(康乃爾大學)、RPI(壬色列理工學院)、SUNY(紐約州立大學體系)、Columbia University(哥倫比亞大學)、New York University(紐約大學),以及IMEC USA(北美佈局實驗中心)和NY CREATES(IBM / Micron / Applied Materials / TEL 聯合研發平台)。企業集聚同樣覆蓋核心技術鏈條:IBM在奧爾巴尼(Albany)和約克鎮高地(Yorktown Heights)設有關鍵研發中心,專注於推動半導體技術的創新前沿。GlobalFoundries 在馬耳他(Malta)建立了美國最大的晶圓製造基地之一,提供了重要的製造產能支援。此外,在波士頓及周邊地區,聚集了如 Analog Devices(ADI)、位於安多佛(Andover)的 Skyworks,以及MACOM、Qorvo、Marvell 和 MediaTek等眾多設計與專業晶片公司,共同構成了模擬、射頻和連接晶片領域的強大叢集。最後,Micron(美光)也在紐約州建立了先進製造基地。紐約州和紐澤西州半導體生態佈局情況麻薩諸塞州半導體生態佈局情況這一科研體系事實上向北延伸至康乃狄克州(CT)、佛蒙特州(VT),向南覆蓋德拉瓦州(DE)、華盛頓哥倫比亞特區(DC)、馬里蘭州(MD)和維吉尼亞州(VA),形成覆蓋光刻裝置、材料科學、國防電子與大學科研體系的完整東北科研帶。例如 Connecticut 擁有 ASML、University of Connecticut 等關鍵光刻和材料力量;Maryland 則聚合 Northrup Grumman、Skyworks、Johns Hopkins University 等軍事電子與通訊方向的研發力量;Delaware(DE)佈局 DuPont Semiconductor Technologies、University of Delaware 與 Coherent 特色製造體系;Vermont(VT)則擁有 GlobalFoundries Essex Junction 全流程製造平台。康乃狄克州(CT)、佛蒙特州(VT)、德拉瓦州(DE)、華盛頓哥倫比亞特區(DC)、馬里蘭州(MD)和維吉尼亞州(VA)半導體生態佈局情況這一科研帶還涉及新罕布夏(NH)與羅德島(RI)等新英格蘭地區州份:NH 內聚集 BAE Systems、安森美、Allegro、pSemi、Skyworks 等國防電子與射頻 IDM 勢力,而羅德島(RI)則由 Brown University、安森美、英飛凌形成“材料 + 功率器件 + 大學科研”協同體系,更進一步強化東北區域在國防電子、功率電子與科研體系的深度連結。“東北科研走廊”向南還可以延展至 Pennsylvania(賓夕法尼亞州,PA),形成完整的“中大西洋科研體系”。在大學科研層面,University of Pennsylvania(賓夕法尼亞大學)、Carnegie Mellon University(卡內基梅隆)、University of Pittsburgh(匹茲堡大學)、Pennsylvania State University(賓州州立大學)以及Mid-Atlantic Nanotechnology Hub共同構成材料、EDA、奈米器件、光通訊和整合系統全鏈路研究體系;在材料端,EFC Gases & Advanced Materials、Wacker Chemical、EMD 和 IQE等企業形成矽晶圓、高純材料與外延材料供應鏈;在製造和IDM方向,日本 Mitsubishi Semiconductor(三菱半導體)以及 Coherent、Infinera、onsemi 等企業承擔特色製造與光通訊器件製造能力;而 Ansys 和 Cadence 則構成IP & EDA 的研發力量,Broadcom 也在這裡設有 Fabless 業務,共同推動東北走廊向“材料 + EDA + IDM + 光通訊 + 大學科研”方向深化。賓夕法尼亞州半導體生態佈局情況東北走廊不是傳統的製造中心,卻是整個美國半導體體系中科研最深、材料最強、量測最全、人才最密集的區域,是美國建立長期科技優勢與基礎研究體系的戰略根源地。(五)西北(奧勒岡—華盛頓—科羅拉多):Intel 核心製造 + 材料重地美國西北地區由Oregon(奧勒岡)—Washington(華盛頓)—Colorado(科羅拉多)構成,其產業結構呈現出“製程研發 + 高純材料 + 高端設計”三位一體的獨特格局。奧勒岡的Hillsboro(希爾斯伯勒)是英特爾全球最重要的製程研發中心之一,地位僅與亞利桑那錢德勒並列,是英特爾未來節點(EUV、High-NA、PowerVia、RibbonFET)路線圖的核心輸出地。該地區形成了極具深度的產業群組:Lattice Semiconductor(萊迪思半導體)的總部在這裡,Synopsys(新思科技)在這裡設有EDA 研發中心,Skyworks(思佳訊)與 Alpha & Omega Semiconductor(傑華特)主要是射頻前端與功率器件的 IDM 業務。Entegris、Siltronic、Mitsubishi Gas Chemicals與 Moses Lake Industries共同構成高純化學品、矽晶圓與工藝材料的關鍵供應體系。Onto Innovation與 Lam Research提供檢測、計量、刻蝕與清洗等關鍵前道裝置。疊加安森美、Microchip Technology(微芯科技)、Qorvo、Allegro、Ampere 等在 Beaverton、Eugene、Bend、Gresham 等地的佈局,使得整個奧勒岡從材料、裝置、晶圓製造到晶片設計形成了閉環。再加上 University of Oregon(奧勒岡大學)、Oregon State University(奧勒岡州立大學)、Portland State University(波特蘭州立大學) 等高校與研究機構持續輸出工藝、材料與器件方向的人才和基礎研究。奧勒岡州半導體生態佈局情況華盛頓州(WA)的半導體產業結構主要聚焦於高純度材料供給和特色工藝製造,在核心材料方面,有專注於高純度化學品的 Moses Lake Industries,以及提供矽晶圓的 Shin-Etsu Handotai America(信越半導體美國);此外,Honeywell(霍尼韋爾)也在此提供重要的工業材料和航空電子解決方案。在製造環節,TSMC Camas(台積電卡馬斯)專注於特色工藝製造,為供應鏈提供多樣化的專業晶片產能。Analog Devices(亞德諾)在 Camas 與 Seattle 建立 IDM 業務,面向混合訊號與射頻應用。設計端方面,華盛頓並非傳統 Fabless 核心區,不過也有幾家晶片設計公司:IQE(Bellevue)承擔 GaAs/化合物材料方向的 Fabless 業務,AMD、NVIDIA、安森美等企業。作為科研支撐體系,Washington State University(Wazzu, Pullman)、University of Washington(UW, Seattle)、Nanowest Nanotechnology Infrastructure at UW等研究機構形成材料、電子、奈米器件研究閉環,為本地製造、航空電子、化學材料體系輸出長期研究能力。華盛頓州半導體生態佈局情況科羅拉多州彙集了眾多全球領先的晶片設計巨頭的重要研發中心:在高性能計算和網路領域,包括在博爾德(Boulder)和柯林斯堡(Fort Collins)設有中心的 NVIDIA(輝達),以及在柯林斯堡和朗蒙特(Longmont)設有分支的 AMD 和 Broadcom(博通)。在儲存和資料結構方面,則有 Micron(美光)(位於朗蒙特)和由 Intel 分拆而來的Solidigm。此外,以科羅拉多大學體系(University of Colorado)為核心的學術資源,為該區域提供了演算法、計算架構和網路晶片設計方面的人才支援和研究合作。這共同構成了美國西部重要的高性能計算、儲存體系結構和網路晶片的研發高地。科羅拉多州半導體生態佈局情況(六)東南(NC—GA—AL—SC—FL):化合物半導體 + 國防電子 + 車規增長美國東南地區以North Carolina(北卡)、Georgia(喬治亞)、Alabama(阿拉巴馬)、South Carolina(南卡)以及 Florida(佛州)構成高速增長的“化合物半導體—國防電子—汽車應用”產業區。在北卡,Wolfspeed與Qorvo構成全球第三代半導體與GaN / SiC 產業代表,同時Skyworks、Infineon、Analog Devices、Cirrus Logic、以及NVIDIA等企業形成RF / Power / AI 晶片設計叢集,並與Duke University、North Carolina State University、UNC Chapel Hill共同構成材料、功率器件與電力電子研究體系。北卡州半導體生態佈局情況佛羅里達州正迅速崛起為一個以車規電子、航空航天、自動駕駛和特殊工藝為主的差異化半導體產業叢集。州內擁有專注於特色工藝代工的製造商,例如 Rogue Valley Microdevices(位於帕姆貝,Palm Bay)和 SkyWater Technology(位於基西米,Kissimmee)。此外還有專注於車規、航空電子和自動駕駛感測器方向的 IDM廠商,如位於帕姆貝的瑞薩,位於阿波普卡側重於航空電子的Northrop Grumman,專注於自動駕駛感測器技術位於奧蘭多的Luminar。Qorvo在阿波普卡和勞德代爾堡(Fort Lauderdale)設有分支,提供射頻(RF)與功率模組的設計能力。AMD 在奧蘭多建立了Fabless的研發力量。科研方面,University of Central Florida(奧蘭多)與 University of Florida(蓋恩斯維爾)承擔光學、材料和自動駕駛方向的科研體系,IMEC 也在 Kissimmee 建立研發中心,使佛羅里達形成“研究—製造—車規應用”鏈條。佛羅里達州半導體生態佈局情況在喬治亞州(GA), Micromize 承擔 IDM 製造與設計職能,Wacker Chemical 和 Absolics 提供關鍵的矽基及先進封裝材料,美光設有晶片設計中心,並由喬治亞理工學院提供強大的科研支撐。相鄰的阿拉巴馬州(AL)則作為補充力量,依託奧本大學的電子材料研究和國防電子體系,並有 NVIDIA(輝達)的晶片設計業務佈局,共同建構了該地區從材料到設計應用的多元化產業鏈。喬治亞州&阿拉巴馬州半導體生態佈局情況南卡羅來納州(SC)圍繞 Clemson University 與 Pallidus 佈局材料、功率器件與大學科研,在車規功率與化合物半導體方向承擔重要補鏈角色。總體來看,東南半導體區域正在成為美國化合物半導體、車規功率電子、國防電子、航天體系以及材料體系的高速增長區,是美國建構第三代半導體戰略供應鏈的重要基地。(七)中西部與內陸:製造基礎 + 材料體系 + 大學科研底盤除了上述這些,橫跨中西部與內陸的若干州,則承擔著製造底盤、材料供應和大學科研網路的“骨架”角色。在中西部,Illinois(伊利諾)、Indiana(印第安納)、Ohio(俄亥俄)、Michigan(密西根)、Iowa(愛荷華)、Kansas(堪薩斯)、Missouri(密蘇里)與 Nebraska(內布拉斯加)共同構成了一個“材料 + IDM + 汽車電子 + 大學科研”的綜合帶:Illinois 依託 Argonne National Laboratory、University of Chicago、University of Illinois 系列高校,在 Entegris、pSemi、Littelfuse 等企業帶動下,形成材料、射頻器件與功率器件平行的格局;Indiana 擁有 Purdue University 與 Notre Dame 兩大研究型大學,配合 onsemi、NHanced Semiconductors、SK hynix 等 IDM 企業,強化特色工藝與封裝能力;Ohio 以 Intel New Albany 的先進製程與封裝項目、Lam Research 的裝置製造,以及 Ohio State University 的工藝與器件研究為核心,是美國中部少數兼具“晶圓製造 + 裝置 + 大學科研”的區域之一;Michigan 則在汽車電子鏈條中扮演關鍵角色,KLA、Hemlock Semiconductor、SK Siltron CSS、NXP 與 Analog Devices 等企業疊加 Wayne State University、Michigan State University 與 University of Michigan,成為車規晶片、功率器件與材料體系的重要支點;Iowa 與 Kansas 通過 Iowa State University、University of Kansas 等高校形成奈米器件與輻射檢測等細分方向,EMP Shield、Integra Technologies 等公司則承擔國防與特種電子製造;Missouri 與 Nebraska 側重材料與大學科研,例如 GlobalWafers(MEMC)、Brewer Science 以及 University of Nebraska–Lincoln,為美國本土提供一部分矽材料與塗層技術供應。在美國南部內陸,Arkansas(阿肯色)、Louisiana(路易斯安那)、Kentucky(肯塔基)、Tennessee(田納西)共同承擔材料與裝置補鏈:阿肯色由 Wolfspeed 承擔化合物半導體 IDM,路易斯安那由 Louisiana Tech / LSU 和 K&B Industries構成科研與裝置體系,肯塔基聚合 Wacker Chemical、KY Multi-scale 和 Infineon,田納西則以 Wacker Polysilicon 與 KLA 完成材料與前道裝置補位。進一步向西、向北延伸到 Idaho(愛達荷)、Utah(猶他)、Montana(蒙大拿)、New Mexico(新墨西哥)等“山地州”,則形成了儲存 + 裝置 + 化合物半導體 + 高校研究的內陸技術帶:Idaho 以 Micron Meridian 與 Boise State University 為代表,是儲存與工藝研發的重要基地;Utah 聚集 BYU、Utah State University 與 Onto Innovation,在檢測計量與裝置端具備優勢;Montana 依託 Montana State University 與 Applied Materials 的裝置佈局,承擔部分前道工藝研發;New Mexico 則以 Intel Rio Rancho 的封裝 / IDM 項目、Cadence 的研發中心以及 SUMCO / EMD 等材料企業,為美國西南方向提供製造與設計協同能力。Wyoming(懷俄明)由 Chemtrade 等材料企業承擔化學品與工業材料供應,為內陸地區提供工藝材料補鏈能力。此外,緬因州(ME)由 Diodes Incorporated與 Texas Instruments承擔模擬與特色製程供應鏈,奧克拉荷馬州(OK)則由EMD與Chemtrade提供材料補鏈能力,進一步強化美國南部與內陸製造網路。結語可以看到,美國正在以國家戰略的方式重構其半導體版圖,不再依賴單一地區,也不再侷限於矽谷或傳統製造中心,而是在科研、製造、材料、裝備、封裝和人才等多個維度形成全國性的佈局網路。未來十年,這一佈局會直接影響全球半導體供給鏈、先進製程競爭方向以及材料與裝置的話語權,也將決定美國能否重新掌握全球技術格局的最高制高點。 (半導體行業觀察)