#3D
3D NAND,靠它了
邊緣和雲端不斷增長的儲存需求,推動了多種應用對更高容量快閃記憶體的需求不斷增長。3D NAND快閃記憶體每12到18個月推出一次,其更新換代速度和性能提升幅度遠超大多數其他半導體器件。每一代新產品都能帶來50%更快的讀寫速度、40%更高的位密度、更低的延遲和更高的能效。3D快閃記憶體製造商通過堆疊和連接儲存單元,利用微小而深的通道,維持著如此驚人的生產速度。這些通道隨著每一代產品的推出而變得更小更深。一項突破性的低溫蝕刻技術,能夠在僅100奈米的開口下,鑽出數十億個深度達10微米的通道孔,且孔徑近乎垂直。在這樣一個重視能源效率和可持續性的行業中,這些創新的蝕刻工具旨在將能耗降低至以往低溫解決方案的一半,同時減少80%以上的碳排放。對於NAND快閃記憶體的蝕刻工藝而言,關鍵挑戰在於如何在保持合理蝕刻速率的同時,確保通道從上到下的垂直輪廓。建模在最佳化工藝配方方面發揮著越來越重要的作用,以確保垂直輪廓的一致性,避免關鍵尺寸偏差、彎曲以及儲存器孔內部的形狀畸變。即使只有少量資料,人工智慧也能幫助最佳化這些特徵的輪廓。這些儲存器輪廓之所以如此關鍵,是因為它們的均勻性直接關係到NAND快閃記憶體的性能,而性能的衡量指標是讀寫速度和程式設計/擦除效率。3D NAND晶片的主要生產商包括三星電子、西部資料、東芝旗下的鎧俠(Kioxa)、SK海力士等。通過堆疊更多更薄的二氧化矽和氮化矽交替層(ON),他們能夠在每一代器件中增加30%的字線數量。然後,利用深反應離子刻蝕(DRIE)技術在晶片上刻蝕出數十億個高縱橫比的圓柱體(深度與寬度之比超過50:1)。DRIE反應器優先將離子垂直導向,從而實現用於深溝槽隔離、矽通孔、MEMS腔體和其他垂直結構的平行結構。在NAND快閃記憶體中,即使這些特徵的原子級偏差極其微小,也會降低器件的電性能,導致良率和性能下降,並可能影響其可靠性。在深度為 10 微米、直徑為 100 奈米的孔中,允許的輪廓偏差僅為 10 奈米。“因此,如果您將 10 奈米的輪廓偏差視為深度的函數,那麼這小於 0.1% 的輪廓偏差,這確實令人印象深刻,” Lam Research全球蝕刻產品公司副總裁 Tae Won Kim 表示。3D NAND 如何擴展?3D NAND 晶片製造商利用三種關鍵方法擴展其器件(見圖 1)。快閃記憶體單元可以更緊密地排列(x 和 y 方向擴展),或者使用垂直連接進行堆疊。自 2014 年左右業界從 2D NAND 過渡到 3D NAND 以來,快閃記憶體製造商主要採用垂直方向的建構方式,同時將邏輯電路放置在儲存陣列下方,以進一步縮小尺寸(稱為晶片陣列下,或 CUA)。晶片製造商還在不增加面積的情況下增加每個單元的位數,從單位元擴展到每個單元 4 位元(四層單元)及更高,這增加了電壓狀態的數量。圖 1:NAND 快閃記憶體通過減小單元間距和尺寸、堆疊字線以及增加每個單元的位數來實現規模化我們是如何走到這一步的?NAND晶片製造商之間的競爭異常激烈,他們都力求在每個製造步驟中實現卓越的均勻性和重複性。這裡展示的是儲存器空穴通道蝕刻。其他重要的NAND高深寬比蝕刻工藝包括:狹縫:蝕刻區域,用於隔離字線,確保正常的電氣功能;多層觸點:連接不同金屬布線層的孔,以及樓梯:用於訪問每一層中的文字行的連接(見圖 2)。垂直通道蝕刻工藝完成後,氧化層、陷阱層和多晶矽通道會沿著孔的側壁沉積。這種結構通常被稱為“通心粉狀通道”。圖 2:三維 NAND 門環繞式架構示意圖,圖中顯示了一串垂直排列的電荷陷阱單元,採用氧化物-氮化物-氧化物 (ONO) 柵極介質,以及數量有限的字線在大多數NAND產品中,垂直排列的電荷陷阱單元取代了位於源極/漏極上方的浮柵(FG)電晶體。雖然兩種器件的工作原理類似,但電荷陷阱單元位於沉積在柵極氧化層(源極和漏極之間)的氮化物層中,本質上是一個內部帶有氮化矽陷阱層的垂直MOSFET器件。單元陣列完成後,晶片製造商通常會製造第二層或堆疊層,然後再將其連接成串。“但是,要確保這層厚度約為 30µm 的堆疊層之間直徑一致,會增加工藝的複雜性和成本,對高堆疊沉積和高縱橫比蝕刻工藝提出了挑戰,”imec 儲存器工藝整合團隊的高級整合研究員 Sana Rachidi 指出。雖然多層短層結構可以減輕高深寬比蝕刻裝置的負擔,但也增加了成本和複雜性,尤其是在第一層中的多個儲存器孔需要與第二層中的孔對齊,以便後續連接的情況下。這需要在需要對齊的短層結構和提高蝕刻性能以在ON堆疊中刻蝕更深區域之間進行權衡。目前,NAND 快閃記憶體供應商正儘可能地將多個儲存單元封裝在單層結構中,然後再建構第二層。“另一個趨勢是將外圍 CMOS 電路最佳化在不同的晶圓上,然後使用混合鍵合技術將其連接到儲存陣列堆疊層,”Rachidi 表示。“為了控制不斷增長的加工成本,他們還在垂直方向上進行進一步的縮放,即所謂的 Z 軸間距縮放。”為什麼需要低溫工藝?在傳統的反應離子刻蝕(RIE)工藝中,隨著微孔內材料的不斷去除,刻蝕速率會逐漸下降。2010年代,刻蝕裝置製造商開始探索低溫工藝(0°C至-30°C),以期通過結合低溫工藝和新型化學方法,提高RIE系統的生產效率並改善垂直刻蝕效果。通過保持晶圓低溫,高能氟離子和氧離子能夠有效地去除氧化氮化物層及其相關雜質。“較低的溫度可以抑制不必要的側壁刻蝕,同時增強離子遷移率和轟擊效果,” Lam Research公司的Kim表示。這種超低溫是通過在刻蝕平台上使用冷卻器以及對晶圓進行氦氣冷卻來實現的。從化學角度來看,更高的刻蝕速率源於中性物質表面擴散和物理吸附的增強。重要的是,工藝工程師需要控制孔頂部聚合物的形成,因為聚合物會阻礙離子流到達特徵底部。“孔輪廓是通過精確控制晶圓溫度和氣體化學性質來控制的,這利用了刻蝕側壁上中性物質吸附方式隨溫度變化而發生的從化學吸附到物理吸附的轉變,”Kim解釋道。所需的蝕刻深度不斷增加。東京電子的 Yoshihide Kihara 及其同事估計:“對於未來超過 400 層的晶片,為了維持當前的 2 層堆疊結構,每層儲存器通道孔的蝕刻深度至少需要 8µm。”這種新型化學方法既能提高刻蝕速率和孔深,又能減少碳排放。東京電子補充道:“通過使用HF氣體進行刻蝕,可以大幅降低傳統CF氣體的分壓,從而與第一代低溫工藝相比,溫室氣體的碳排放量可減少84%。”該公司還發現,少量含磷氣體(PF₃ )可作為催化劑,促進HF與SiO₂之間的反應,從而在較低溫度下提高刻蝕速率。低溫蝕刻技術的需求已經非常明確。Kim指出,Lam Research已經在用於3D NAND應用的生產晶圓廠中安裝了1000個低溫蝕刻腔。反應離子刻蝕(RIE)可採用兩種類型的反應器——電容耦合電漿體(CCP)和電感耦合電漿體(ICP)。通常,ICP更為常用,因為它的兩個電極可以獨立控制離子能量和離子密度,而射頻偏置功率則可將活性離子加速注入刻蝕孔中。RIE(反應離子刻蝕)裝置供應商眾多,包括應用材料公司(Applied Materials)、Plasma-Therm公司、牛津儀器公司(Oxford Instruments)和Sentech Instruments公司,但Lam Research和東京電子(TEL)是低溫刻蝕領域大批次生產的主導企業。東京電子於2023年推出了首款低溫刻蝕機,而Lam Research則於2024年7月推出了第三代低溫刻蝕機。Lam Research的Kim指出,這三代反應釜採用了三種不同的化學體系。(Lam Research並未透露目前使用的具體氣體種類。)成功蝕刻的另一個關鍵要素是用於形成孔和縫隙的光刻和蝕刻掩模。晶片製造商使用厚厚的非晶碳硬掩模(通過化學氣相沉積法沉積),並在其上旋涂玻璃和光刻膠,首先對硬掩模進行圖案化。這層厚掩模保護了蝕刻過程中需要保留的ON/ON/ON區域。Lam Research 還利用電漿體脈衝在刻蝕模式和鈍化模式之間切換。刻蝕過程的副產物非常重要,因為它們可以鈍化側壁,防止結構彎曲。垂直通道刻蝕的縱橫比已經接近 70:1,要過渡到 100:1 的縱橫比,控制起來將更具挑戰性。輪廓控制、人工智慧和蝕刻工藝建模在提升製造工藝成果方面發揮著越來越重要的作用。在開發用於最佳化NAND垂直通道蝕刻的蝕刻工藝時,值得注意的是,有超過30個可調的蝕刻參數,包括溫度、氣體流速、功率、工藝時間等等。由蔡成恩領導的宏碁公司工程師團隊,提出了一種基於人工智慧的方法,用於最佳化垂直通道(VC)結構中的蝕刻輪廓,從而最大限度地減少VC輪廓的形狀變形。與許多使用大型、多樣化資料集建構的人工智慧輔助建模計算不同,宏碁團隊利用來自25片已加工晶圓(包括晶圓中心、中間和邊緣)的資料,最佳化了蝕刻工藝,從而降低了關鍵尺寸(CD)的變化。這種方法降低了工藝開發的成本和時間。蔡及其同事報告稱:“半導體行業面臨的關鍵挑戰之一是在工藝開發初期就儘可能減少晶圓消耗,因為這對於降低成本和加快產品開發進度至關重要。” 該人工智慧程序能夠最佳化33個刻蝕參數,從而降低頂部CD、弓形CD(最寬點)、CD畸變和CD條紋程度的變化。宏碁人工智慧輔助調優方法的核心策略是基於全面的資料集對預訓練的Transformer模型進行微調。該微調過程將機器學習演算法應用於來自實際晶圓和DOE分割的小資料集。“通過將預測的刻蝕參數輸入模型,即可獲得最終的VC輪廓,從而使系統能夠高精度地模擬和預測VC結構,”宏碁團隊強調了領域知識的作用。“為了提高模型預測的精準性,我們基於該領域的專家知識,設定了一些具有特定約束條件的預設參數。這一步驟對於最佳化模型輸出並確保預測結果與實際可行的刻蝕條件相符至關重要。”利用透射電鏡(TEM)在垂直通道(VC)中10多個深度處的斜面切割測量資料,記錄了關鍵尺寸(CD)的變化,並通過機器學習(ML)確定了33個刻蝕參數的最佳化值。“通過建立高精度的刻蝕輪廓,該方法不僅提高了刻蝕結構的質量,還有助於半導體行業顯著降低成本。借助先進的最佳化技術,人工智慧輔助的調諧方法確保最終的垂直通道結構在最大限度減少形狀變形和保持對關鍵尺寸的嚴格控制方面表現出卓越的性能。”最重要的是,新的工藝配方降低了特徵畸變,這與NAND的性能和可靠性直接相關。“在初始工藝中,當VC形狀畸變嚴重時,閾值電壓會突然升高,表明在3D NAND程式設計過程中性能不穩定。”人工智慧輔助蝕刻工藝徹底消除了這種閾值電壓異常,從而實現了可預測且最佳化的器件性能。未來微縮面臨風險?為了在每一代產品中持續增加ON層數,縮小字線之間的z軸間距(現有器件的z軸間距約為40nm)似乎是合理的。然而,imec的研究人員警告說,隨著NAND快閃記憶體製造商在繼續使用現有材料的情況下縮小尺寸,會出現兩個物理問題——橫向電荷遷移和單元間干擾。電荷遷移和訊號干擾會降低閾值電壓、增大亞閾值擺幅、降低資料保持時間並提高程式設計/擦除電壓。imec 的研究人員表示:“當進一步減小字線層厚度時,電荷陷阱電晶體的柵極長度也會相應縮短。因此,柵極對溝道的控制力逐漸減弱,相鄰單元之間的靜電耦合也隨之增強。除了單元間的干擾外,儲存單元在垂直方向上的縮小還會導致橫向電荷遷移(或垂直電荷損失)。被困在 SiN 層內的電荷往往會穿過垂直方向的 SiN 層遷移,從而影響資料保持時間。”一種可以抑制單元間干擾的工藝改進方法是用低介電常數材料(低k值)的空氣間隙代替字線之間的氧化物介質。事實上,空氣間隙此前已在二維NAND器件中用於此目的。然而,在垂直結構中引入空氣間隙比在平面結構中要困難得多。Imec 最近設計了一種可重複的氣隙方案,該方案在沉積 ONO 堆疊層之前,先對柵極間氧化層進行凹陷處理。“氣隙的引入使其與字線自對準,從而可以精確控制其位置,並提供可擴展的解決方案。”研究人員和製造商將繼續探索這種及其他方案,以繼續縮小 3D NAND 的尺寸。結論低溫蝕刻是反應離子刻蝕工藝的一項關鍵發展,它能夠在3D NAND器件中形成極深極薄的腔體,用於垂直接觸、狹縫、階梯接觸和周邊接觸。晶片製造商正在最佳化30多個蝕刻參數,以確保從頂部到底部關鍵尺寸(CD)的垂直輪廓保持最小變化。隨著這項極具挑戰性的技術的推廣應用,工藝模擬和人工智慧輔助可以在配方最佳化方面發揮重要作用,而無需運行數百片開發晶圓。這可以節省成本並縮短產品上市時間。因此,業界可能會更加依賴虛擬製造來完成這些以及其他關鍵的製造步驟。 (半導體行業觀察)
300mm氮化鎵,全球首發
在IEDM 2025上,英特爾首次展示了一種基於300mm矽基氮化鎵工藝的氮化鎵Chiplet技術。該氮化鎵Chiplet技術具有以下特點:業界最薄的氮化鎵Chiplet,其底層矽襯底厚度僅為19µm,取自完全加工、減薄和單晶化的300mm矽基氮化鎵晶圓,並展現出卓越的電晶體性能和品質因數;業界首個採用單片整合氮化鎵N-MOSHEMT和矽PMOS工藝的全功能整合片上CMOS數位電路庫,涵蓋反相器、邏輯閘、多路復用器、觸發器和環形振盪器等;TDDB、pBTI、HTRB和HCI測試結果令人滿意,表明該300mm氮化鎵MOSHEMT技術能夠滿足所需的可靠性指標。英特爾認為,這項工作中展示的技術要素表明,300mm GaN-on-silicon 技術是一種有吸引力且功能強大的Chiplet技術,適用於高性能、高密度、高效功率和高速/射頻電子產品。引言隨著計算解決方案向更高功率擴展以應用於圖形和伺服器平台,以及新興的 5G/6G 通訊不斷提高資料速率,氮化鎵 (GaN) 和先進的 3D 封裝等半導體技術在提供超越當前矽和 III-V 族技術的更高性能、更高效率、更高整合度和更高密度方面,正發揮著越來越重要的作用。在此前,就有專家提出了 300mm GaN-on-silicon 技術,由於其卓越的性能指標 (FoM) 以及將低電壓至 48V GaN 與矽 CMOS 整合的能力,正成為高密度、高性能功率和高速/射頻電子器件領域極具吸引力的技術。圖 1 展示了 GaN 負載點電源解決方案的潛在發展方向:從分立式主機板電壓調節器 (MBVR) 到採用 GaN 功率晶片的Chiplet整合,以滿足對更高功率密度、更高效率(例如,降低 I²R 布線損耗)和更緊密整合度的需求。本文展示了實現基於 300mm 矽基 GaN 工藝的 GaN Chiplet技術所需的技術要素。圖 2 展示了 GaN Chiplet整合的示例。首先,值得注意的是,該複合體中用於容納Chiplet的空間非常有限(在所有 xyz 方向上)。因此,GaN 電晶體技術需要具備高密度和高性能,能夠提供接近或超過 10 A/mm² 的高電流密度。此前,我們證明了 300mm 的矽基 GaN MOSHEMT 技術可以實現電流密度接近 ~10 A/mm² 的功率晶片。此外,GaN Chiplet需要超薄(<<50 µm),以便實現短(低縱橫比)、低電阻的矽通孔 (TSV),從而降低電阻損耗並實現可接受的散熱。在這項工作中,我們展示了業界首個採用厚度僅為 19 µm 的矽襯底的 GaN Chiplet,該矽襯底取自經過全面加工、減薄和單晶化的 300 mm GaN on-silicon 晶圓。其次,GaN Chiplet必須儘可能完整,具備所需的各種功能,例如 CMOS 控製器 、低漏電 CMOS 驅動器、偏置電路(例如 PMOS 電流鏡)和遙測電路等。整合 CMOS 驅動器(例如 DrGaN)和死區時間控製器等功能對於實現最佳效率和快速開關以縮小無源器件尺寸至關重要。該複雜結構中沒有空間容納例如 CMOS 配套晶片。僅僅為了訪問少量 CMOS 元件而在晶片之間進行布線效率低下。因此,對於GaNChiplet技術而言,至關重要的是將關鍵的CMOS元件整合並實現在同一GaN晶片上。為此,我們首次展示了一個功能齊全、完全整合的片上CMOS數位電路庫,涵蓋反相器、邏輯閘、多路復用器、觸發器和環形振盪器等,所有電路均採用單片整合GaN N-MOSHEMT和Si PMOS工藝實現,該工藝通過層轉移技術實現,並使用統一工藝設計套件(PDK)進行設計。第三,GaN MOSHEMT電晶體技術必須滿足基本的可靠性要求。在這項工作中,我們展示了溫度相關介質擊穿(TDDB)、正偏壓溫度穩定性(pBTI)、高溫反向偏壓(HTRB)和熱載流子注入(HCI)方面的良好結果,這表明300mm GaN MOSHEMT技術能夠滿足這些可靠性指標。從高性能 300 毫米矽基氮化鎵晶圓中製備超薄 GaN Chiplet圖 3 展示了減薄並切割成單片的 300 mm GaN-on-silicon 晶圓的照片,包括 (b) 晶圓邊緣和 (c) 晶圓內成功取出一個Chiplet(晶粒)的區域。該晶圓採用 SDBG(研磨前隱蔽切割:stealth dicing before grinding)工藝進行減薄和切割。圖 4(a-c) 展示了從圖 3 所示的 300 mm GaN-on-silicon 晶圓中提取的 GaN Chiplet的 SEM 顯微照片,顯示了厚度僅為 19 µm 的底層矽襯底。橫截面 SEM 顯微照片顯示了完全加工的後端互連堆疊和前端 GaN 器件。需要強調的是,這是業界最薄的完全加工的 300 mm GaN 晶圓。圖 4(d) 展示了一個原型,其頂部 GaN Chiplet翻轉後連接到底部晶圓上。圖 5 展示了從圖 4 中的 GaN Chiplet測得的 GaN MOSHEMT(LG=30 nm,柵漏間距不同)電晶體的 ID-VG 特性。LG=30 nm 的 GaN 電晶體表現出優異的導通電阻 (RON),以及低於 3 pA/µm 的低漏極和柵極漏電流。圖 6 展示了從圖 4 中的 GaN Chiplet測得的 GaN MOSHEMT(LG=30 nm,LGD=1000 nm,LGFP=900 nm)的 ID-VD 特性。在圖 6(b) 的 BVDS 測量過程中,在維持 78 V (@ 1 µA/µm) 的 VDS 後,該電晶體表現出穩定的 ID-VD 特性(變化小於 2%)。圖 7 顯示了不同 LGD 和 LGFP 的 LG=30nm GaN MOSHEMT 的 Ron-BVDS 和 BVDS-LGD 特性,測量自圖 4 中的 GaN Chiplet。圖 8 顯示,最佳功率 FoM=Ron-QGG ~1 mΩ-nC 由 LG=30nm、LGD=200-250nm 的 GaN MOSHEMT 實現,測量自圖 4 中的 GaN Chiplet。此前,我們採用相對較長溝道長度(LG=250nm)的 GaN MOSHEMT 的 300mm 矽基 GaN MOSHEMT 技術實現了約 10 A/mm² 的電流密度。本文表明,通過縮小電晶體幾何尺寸和間距,可以實現低至 30nm 的短溝道長度(LG),因此有可能實現遠大於 10 A/mm² 的電流密度。圖 9 展示了 GaN MOSHEMT 電晶體的射頻性能。對於最短的 LG 為 30 nm,實現了 212/304 GHz 的高 fT/fMAX 值;在 LG 長達 130 nm 的範圍內,峰值 fMAX 均大於 200 GHz。此處測得的射頻資料(基於圖 4 中的 GaN Chiplet)表明,該器件在射頻和高速應用(例如光子學)方面具有良好的應用前景。這種 GaN Chiplet技術在這些應用中具有潛在的應用價值。CMOS數位電路與GaN MOSHEMT在300mm矽基GaN上的整合圖10為單片整合在300mm矽基GaN晶圓上的GaN N-MOSHEMT電晶體和Si PMOS的透射電鏡(TEM)圖像。圖11為單片整合Si PMOS電晶體(LG=180 nm,RON=2411 Ω-µm,ION=0.35 mA/µm)和GaN MOSHEMT電晶體(LG=180 nm,RON=413 Ω-µm,ION=1.03 mA/µm)的ID-VG特性曲線。圖12為採用單片整合GaN N-MOSHEMT和Si PMOS實現的反相器,展示了測得的反相器Vout-Vin響應以及輸入/輸出波形。圖 13 顯示了 NAND 門及其測量的輸出波形和 (p, q) 輸入波形。圖 14 顯示了多路復用器 (MUX),展示了 (p, q) 和 Select 輸入訊號的測量輸出波形。圖 15 顯示了採用相同單片工藝實現的環形振盪器佈局,該振盪器包含 7213 級反相器和一個 214 分頻器(由 D 觸發器鏈組成)。在 300 mm GaN-on-Si 晶圓上,每級反相器的測量延遲為 33 ps ± 2 ps (1σ)。這是首次採用 300 mm 單片整合 GaN N-MOSHEMT 和 Si PMOS 工藝,展示了一個功能齊全的片上整合數位電路庫。300mm GaN MOSHEMT 可靠性圖 16 顯示了 GaN MOSHEMT 柵極氧化層的時間相關介質擊穿 (TDDB) 研究結果。根據測得的參數 (β, ASF, VAF),計算得到的 Vmax 為 1.84 V,對應於 1000 mm (106 µm) 的電晶體寬度,在 90°C 下,10 年壽命,故障率為百萬分之一。該 Vmax 足以滿足此 GaN MOSHEMT 技術的需求,遠低於 p-GaN HEMT 中常見的高柵極電壓 (5-6 V),因此驅動功耗遠低於 p-GaN HEMT。圖 17 顯示了 GaN MOSHEMT 的正偏壓溫度不穩定性 (pBTI) 研究結果。其中,閾值電壓 (VT) 在飽和至約 +0.43 V 後穩定並停止增加,導通電阻 (Ron) 穩定在 503 Ω-µm(較初始值 416 Ω-µm 增加 21%)。這些結果表明需要進行“老化”過程,以使柵極感應陷阱達到飽和。在讀出 (RO) 間隔期間,移除柵極應力 (Vg,stress),並在應力移除後的 75 µs 短時間內測量電晶體參數。如此快速的讀出可確保閾值電壓 (VT) 在讀出間隔期間不會顯著恢復。圖 18 顯示,在高溫反向偏置 (HTRB) 研究中,對 GaN MOSHEMT 施加應力電壓 VD,stress=72 V 和 VG,stress=-1 V 後,電晶體導通電阻穩定在初始值的 +16% ΔRon,並且電晶體柵極和漏極的漏電流在整個應力作用下保持穩定。這些結果表明,該器件經歷了一個“老化”過程,其中漏極感應陷阱達到飽和。圖 19 顯示了 GaN MOSHEMT 在不同應力電壓 VD,stress 和不同漏極電流密度 ID,str 下的熱載流子注入 (HCI) 研究結果。對應於 ID,str=0.1 mA/µm 的點是從 ID,str 從 0.3 到 0.9 mA/µm 的測量資料中投影得到的。失效時間 (TTF) 的測量基於失效準則,該準則通過觀察漏極電流變化 ∆ID 達到 -20% 來定義。根據測得的 HCI 資料,預計該電晶體能夠承受 VD > ~15V 且 IDstr < 0.1 mA/µm 的 HCI 應力(1% 的時間),持續 10 年。TDDB、pBTI、HTRB 和 HCI 研究結果表明,該 300 mm GaN MOSHEMT 技術在滿足可靠性指標方面具有良好的應用前景。總結本研究首次展示了一種基於 300mm GaN-on-silicon 的 GaN Chiplet技術。我們展示了業界最薄的 GaN Chiplet,其底層矽襯底厚度僅為 19 µm,該晶片取自經過全面加工、減薄和單晶化的 300mm GaN-on-silicon 晶圓,展現出卓越的電晶體性能和品質因數。我們首次利用單片 GaN N-MOSHEMT 和 Si PMOS 工藝,展示了一個功能齊全、完全整合的片上 CMOS 數位電路庫,從而實現了關鍵片上 CMOS 功能的整合。TDDB、pBTI、HTRB 和 HCI 研究的良好結果表明,該 300mm GaN MOSHEMT 技術能夠滿足所需的可靠性指標。本文展示的Chiplet技術要素,推進了300mm矽基氮化鎵技術的發展,使其成為高密度、高性能、高效率電力電子器件和高速/射頻電子器件的理想選擇。 (半導體行業觀察)
堆疊半導體架構的未來,是3D
半導體行業延續摩爾定律的新路徑—— 依靠架構創新,而非單純的製程微縮。半導體行業已正式擺脫傳統晶片設計的平面化桎梏。截至 2024 年 12 月,數十年來主導摩爾定律演進的 “二維壁壘”,正被新一代垂直 3D 邏輯晶片徹底打破。通過像搭建摩天大樓一樣垂直堆疊儲存層與計算層,研究機構與科技巨頭正解鎖此前被認為無法企及的性能高度。這種架構變革,是自積體電路發明以來晶片設計領域最重大的突破,其核心價值在於破解了長期制約人工智慧發展的 “記憶體牆”—— 即資料傳輸瓶頸。這項突破絕非停留在理論層面,而是直接回應生成式人工智慧與大規模神經網路對算力和資料的雙重剛需。3D 堆疊技術將資料傳輸路徑從毫米級的水平空間,壓縮至微米級的垂直維度,不僅大幅降低功耗,更將人工智慧工作負載的運行效率提升數個數量級。隨著 2026 年臨近,向 3D 邏輯架構的轉型,必將重塑硬體製造商與人工智慧實驗室的競爭格局。技術飛躍:從2.5D到全端式3D邁向真正的 3D 邏輯封裝,標誌著行業與過去數年主流的 2.5D 封裝技術徹底分野。2.5D 技術的典型代表為輝達 Blackwell 架構,其核心是將多顆晶片並排封裝於矽中介層之上;而全新的 3D 封裝技術,則採用晶片間直接垂直鍵合方案。在這一領域,台積電憑藉系統級整合晶片(SoIC)平台佔據領跑地位,其在 2025 年底實現 6μm 鍵合間距,以此達成邏輯晶片堆疊,互連密度較上一代產品提升十倍。這一技術突破,讓不同晶片元件的通訊速度與效率接近單片矽片水平,同時兼具多層架構的模組化優勢。與此同時,互補型場效應電晶體(CFET)技術的崛起,為3D邏輯發展注入新動能,並在2025年12月的國際電子器件會議(IEDM)上成為焦點議題。與傳統橫向排列的鰭式場效應電晶體(FinFET)或環柵電晶體(GAA)不同,CFET技術將N型與P型電晶體垂直堆疊,在相同封裝尺寸下有效提升電晶體密度,為後續1nm 級(業內稱為 “A10”)製程節點提供技術藍圖。此外,英特爾已將其 Foveros Direct 3D技術應用於新款Clearwater Forest至強處理器,該技術採用混合鍵合工藝實現晶片層間銅對銅連接,在降低延遲的同時,打造出比任何 2D 技術更緊湊、更節能的晶片架構。最具突破性的進展,來自史丹佛大學、麻省理工學院等科研機構的聯合攻關。他們研發的“單片 3D” 人工智慧晶片,首次將碳奈米管場效應電晶體(CNFET)與電阻式隨機存取儲存器(RRAM),直接整合於傳統 CMOS 邏輯電路之上。不同於成品晶片的簡單堆疊,該技術通過單一製造流程逐層建構晶片結構。初步測試資料顯示,該晶片可使大語言模型(LLM)吞吐量提升 4 倍;模擬結果進一步表明,更高層數的堆疊架構,有望實現 100 倍至 1000 倍的能效躍升。與現有技術相比,其核心優勢在於消除記憶體與計算單元的物理隔離,真正實現人工智慧模型 “在儲存資料的位置完成計算”。市場顛覆與新硬體的突破3D 邏輯架構的轉型,正在重塑全球頭部科技企業的競爭格局。輝達憑藉新發佈的 “Rubin” R100 平台持續領跑,該平台整合 8 層 HBM4 記憶體堆疊與 3D 晶片設計,目標實現 13TB/s 的記憶體頻寬,這一數值幾乎是其上一代產品的兩倍。在以資料傳輸成本為核心競爭要素的 AI 訓練市場,這一優勢將鞏固輝達的領先地位。但 3D 堆疊技術的複雜性,也為英特爾重奪 “製程領導地位” 提供契機 —— 其 18A 製程節點與 PowerVia 2.0 背面供電系統(將電源布線移至晶片底部),已成為 2025 年高性能 AI 晶片的標竿技術。對於亞馬遜、Google等超大規模資料中心營運商,以及專注人工智慧的初創企業而言,3D 邏輯晶片為其定製化晶片研發開闢新路徑,其能效遠超通用 GPU。這些企業通過三星 SAINT-D 平台,將自研 AI 加速器直接堆疊於高頻寬記憶體(HBM)之上,可使 AI 推理能耗降低 70%。在電力成本與資料中心冷卻已成為 AI 規模化發展核心制約因素的當下,這一技術優勢具備極強的戰略價值。值得注意的是,三星無需中介層即可實現 DRAM 與邏輯晶片直接堆疊的技術能力,正直接挑戰傳統半導體供應鏈模式,甚至可能顛覆專業封裝廠商的市場主導地位。這種競爭格局的變化,也傳導至半導體代工模式本身。由於 3D 堆疊技術要求設計與製造環節深度協同,傳統 “無晶圓廠” 模式正加速向 “協同設計” 模式演進。無法攻克垂直堆疊技術中熱學、電學複雜難題的企業,將面臨被淘汰的風險。行業價值正從單一晶片產品,向 “系統級封裝”整體解決方案轉移。這一趨勢利多具備系統整合能力,以及擁有深度合作關係的企業聯盟 —— 例如蘋果與台積電的合作。據傳,雙方正聯合研發 3D 堆疊架構的 “M5” 晶片,預計 2026 年發佈,屆時有望將伺服器級人工智慧功能,下沉至消費電子裝置。更廣泛的意義:打破“記憶體牆” 桎梏3D 邏輯架構的深遠價值不容忽視,其核心是解決困擾電腦領域數十年的 “記憶體牆” 問題。在傳統 2D 架構中,處理器與記憶體之間的資料傳輸能耗,往往比計算本身的能耗高出數個數量級。通過垂直堆疊計算與儲存元件,資料傳輸距離從毫米級縮短至微米級,這並非簡單的性能迭代,而是一次顛覆性的架構革新 —— 它將催生 “智能體人工智慧”(Agentic AI),即具備長期推理能力、可執行多步驟任務的人工智慧系統,而這類任務的實現,恰恰需要對持久化記憶體進行大規模、高速度的訪問。但技術突破也伴隨新的挑戰,其中最突出的是散熱管理問題。堆疊多層高性能邏輯晶片,相當於將多台高功率加熱器疊加。2025 年,業界已被迫率先採用微流體冷卻技術 —— 讓冷卻液在直接蝕刻於矽片的微型通道中循環 —— 以避免 3D 晶片 “過熱當機”。此外,製造良率也是核心痛點:若十層堆疊結構中任意一層出現缺陷,整個晶片都將報廢。這一困境,正推動人工智慧驅動的 “面向測試的設計”(DfT)工具加速發展,這類工具可提前預測並規避潛在故障。從產業發展史維度看,向 3D 邏輯架構的轉型,其里程碑意義堪比從真空管到電晶體的技術跨越。它標誌著晶片發展 “平面時代” 的終結與 “體積時代” 的開啟。正如摩天大樓讓城市在土地資源有限的情況下實現空間拓展,3D 邏輯晶片也讓算力突破矽晶圓水平空間的限制,實現指數級增長。這一技術趨勢,對人工智慧的可持續發展至關重要 —— 畢竟,全球能源體系難以支撐基於 2D 架構的人工智慧規模化擴張所產生的能耗成本。展望未來:1 奈米、玻璃基板及更遠技術展望未來,行業短期技術重心將聚焦於混合鍵合工藝最佳化與玻璃基板商業化應用。與傳統有機基板相比,玻璃基板具備更優異的平整度與熱穩定性,這對維持垂直堆疊晶片層間的精準對準至關重要。預計 2026 年,首批採用玻璃基板的大批次 AI 晶片將實現量產,支撐更大規模、更複雜的 3D 封裝架構落地。長期來看,技術路線圖將指向 “真單片 3D” 技術 —— 即在同一晶圓上逐層生長多層邏輯電路,最終有望實現數百層堆疊的晶片結構。3D 邏輯技術的應用場景,絕不僅限於資料中心。它有望賦能 “邊緣 AI” 裝置,例如 AR 眼鏡、無人機等,使其具備原本需要雲端算力支撐的複雜即時處理能力。專家預測,到 2028 年,“立方體 AI” 將成為行業標準形態,這類晶片內部將整合感測、儲存、邏輯甚至光子學專用層,實現晶片間光速通訊。儘管製造成本仍是當前主要挑戰,但隨著良率提升,3D 架構晶片將逐步從售價 4 萬美元的高端 AI GPU,普及至消費級電子產品。智能的新維度3D 邏輯架構的誕生,標誌著技術發展史的一個決定性轉折點。通過突破 2D 平面的物理限制,半導體行業找到了延續摩爾定律的新路徑 —— 即依靠架構創新,而非單純的製程微縮。其核心價值清晰可見:“記憶體牆” 被徹底打破,“能效” 取代單純的算力指標,成為衡量晶片性能的新標準,而 “垂直堆疊” 則成為全球半導體企業的新競爭賽道。展望 2026 年,人工智慧全產業鏈都將感受到 3D 邏輯技術的變革力量。從更強大的自主智能體,到更高效的資料中心,這座晶片領域的 “摩天大樓”,將成為未來十年人工智慧發展的核心基石。 (半導體產業縱橫)
交大校友,殺進3D列印:又融資了
2017年9月的一個清晨,李傳國一個人站在空蕩蕩的辦公室裡。燈沒開,窗外是上海的秋天,屋裡卻冷得像冬天。幾台3D印表機靜靜地擺著,它們曾經被寄予厚望,如今卻成了“失敗”的註腳——他的第一家創業公司,關門了。那一刻,他突然意識到一個殘酷的事實:3D列印為什麼很難規模化?因為太貴了,太難了,太麻煩了。直到2020年,把產品“做便宜”的機會到來。3D列印的核心專利陸續到期,裝置與材料價格斷崖式下跌——一個雞蛋大小的模型,成本從百元驟降到十塊錢。2020年,李傳國在合肥創辦腦洞數字。這次,他不再捲硬體價格,而是瞄準行業裡棘手的“小散單”——批次小、款式雜、溝通成本高的訂單。他希望通過“AI+線上模擬+3D列印”的數位化系統,解決那些“太貴、太難、太麻煩”的問題。讓使用者上傳檔案後,十幾秒內即可自動識別缺陷並提供修改方案。過去4年,腦洞科技累計融資三輪,資方包括啟迪之星、合肥智慧型手機器人研究院、合肥智達芯等。今年,腦洞科技再度融資,資方為合肥市天使投資基金。公司迄今已服務超1000家企業,包括ABB中國、蔚來汽車、科大訊飛及多家科研院所,應用於科研、汽車、醫療生物、動漫手辦等領域。鉛筆道近期專訪腦洞科技創始人李傳國,挖掘出3D列印賽道的諸多機會,精華如下:1、2020年之前,3D列印為何沒廣泛應用?原因之一是:客戶不會3D建模,溝通成本比列印本身貴很多。2、機會拐點在那裡?2020年前後,3D列印的核心專利陸續到期,降本的機會來臨。3、疫情加速產業了嗎?加速了,大家去不了工廠,養成了“雲端製造”習慣。4、會被巨頭封鎖嗎?不會,他們做裝置,創業者可以做服務。5、出海有機會嗎?有。成本有很大優勢。6、未來趨勢是啥?3D列印未來可做每個人的鞋、頭盔、坐墊,市場幾百億起步。- 01 - 時機不對,都是白費我曾經歷3D列印的狂熱與泡沫。2017年9月,上海的秋天,我心裡卻像寒冬。我的第一家3D列印公司,就在那個月,正式關門了。十五六人的團隊,遣散光了。辦公室裡空蕩蕩,只剩下幾台我們曾經引以為傲的印表機。那種感覺,就像自己親手搭好的積木,被人輕輕一推,全塌了。一切要從2014年說起。我本科畢業於合肥工業大學,之後在上海交通大學攻讀碩士,又赴日本立命館大學深造。那幾年,3D列印正火。全球媒體都在報導Maker運動,中國也湧現出一批所謂“創客風口公司”。投資人熱情高漲,創業者個個摩拳擦掌,似乎只要做3D列印,就能成為下一個風口獨角獸。我們也覺得,風口來了。但現實很快給了我一記重拳:我們賣的好像不是“未來”,而是“失望”。客戶花五六百塊錢,最後拿到手裡的是個啥?一個雞蛋大小的玩意兒,表面還一道一道的紋路,質感不行,價格倒死貴。更要命的是,來找我們的客戶,十個裡頭有九個只會說:“我想要個這樣的東西。”然後呢?沒有然後了,他們給不出一張能直接用的3D圖紙。建模,像一座大山,攔住了99%的人。李傳國,腦洞數字創始人到了2017年,行業泡沫破滅的聲音,清晰可聞。全球3D列印市場雖保持高速增長,但消費級市場仍在探索期:入門機售價低但精度差,高精度機型價格高昂,使用者群體小而分散。我們的投資人,一個很精明的上海老大哥,找我談了一次話。之前承諾的投資,後續無法兌現。我們靠著帳上剩下的錢,硬撐了三四個月。沒有像樣的訂單,但人一天也不能少養。最後,彈盡糧絕。鎖門那天,我腦子裡反覆想的,其實就兩件事。第一,普通人用不起3D列印,不是因為貴,而是因為“不會用”。第二,這門生意最大的問題,從來不是列印,而是溝通。那怕接一個100塊錢的小單子,也得派懂機械的工程師,和客戶在電話裡掰扯半天壁厚、強度、裝配間隙。溝通成本,比列印本身貴十倍。這生意,天生“殘疾”。這一跤摔得很慘,但也把我摔醒了。我意識到,光有熱情沒有用,時機不對,所有的努力都可能都是白費。- 02 - 2020年是轉機:做“便宜”的機會到來公司關了,但我沒離開這個行當。或者說,我發現了一個新機會:3D列印軟體的國產化。2017年底,我跑到了合肥,進了哈工大機器人研究院。聽起來像“退一步”,其實是換了個戰場。那兒有個國家支援的項目:開發國產的CAE工業模擬軟體。政府投了近千萬,我的任務就是把它搞出來。模擬軟體是個啥?簡單說,就是產品的“數字試衣間”和“壓力測試機”。零件還沒生產,軟體就能告訴你,它會不會變形、會不會斷、會不會在高溫下失效。就在這個“數字試衣間”裡,我找到了那把破局的鑰匙。我忽然意識到,那些讓我在上海焦頭爛額的“溝通難題”——客戶不懂壁厚、不懂強度、不懂裝配——不正是模擬軟體最擅長回答的問題嗎?如果能把模擬和3D列印的前端檢查結合起來,讓軟體自動當那個“懂機械的工程師”,不就能把那座溝通成本的大山搬掉嗎?與此同時,行業的風向也在悄悄變了。大概是2019到2020年那會兒,我注意到一個關鍵變化:國外那些90年代初申請的3D列印核心專利,陸陸續續到期了。專利牆一倒,國內大廠再也不束手束腳,全都甩開膀子開干。裝置價格斷崖式下跌。以前兩三百萬的工業級裝置,國產機直接幹到二三十萬;耗材更狠,尼龍從3塊錢一克,打到2毛錢一克。我算了一筆帳:一個雞蛋大的玩意兒,以前按克重算要上百塊,現在成本不到10塊。一個電腦外殼,從天文數字降到兩三百。我心裡有底了:讓普通人“用不起”的障礙沒了,而我手裡又握著“模擬”這把鑰匙。我知道,機會回來了。- 03 - 盯準碎片化需求於是,我嘗試用軟體技術,把碎片化的3D列印需求,變成規模化機會。2020年,我在合肥,註冊了新公司。名字我想了很久,最後定了“腦洞數字”。這次我沒買一堆裝置做苦力,而是選了一條“窄路”。因為上一段的經歷告訴我,這個行業現在最大的痛點,根本不是“能不能打出來”,而是“怎麼能又高效、又便宜地打出來”。腦洞數字用3D列印製作而成的拖鞋我們的創業導師說過一句話:“好啃的骨頭早被人啃完了,留給創業公司的,都是難啃的骨頭。”我們專門去啃這些骨頭。什麼是難啃的骨頭?就是那些批次小、花樣多、溝通煩的訂單。所以我們的客戶,大多是C端使用者和中小企業。80%的訂單,金額在1000塊以下,數量不超過10個。問題也隨之而來:小批次定製時,溝通成本往往比生產成本還高。一個賺100塊的訂單,可能要反覆確認裝配、受力、耐溫。靠人溝通,我得花兩三個月甚至大半年去培養一個懂機械、懂工藝的銷售人員。但這些訂單,怎麼算都是虧的。你去找大廠,人家連門都不會讓你進。可這些需求,在社會上又客觀存在。我們的價值,就是用技術,把這些零散、瑣碎、不“經濟”的需求,高效消化掉。傳統的3D列印,流程像拉鋸戰:工程師人工檢查,學生修改,再發回來,三四輪下來,只為一單幾百塊錢的列印。更麻煩的是扯皮。曾有客戶發來幾十個零件圖紙,結果列印出來後,客戶說:“這個外殼怎麼破了個洞?”我們一看,是因為他畫得太薄了。但客戶說:“我畫得薄你沒提醒我啊!”痛定思痛,我們做了一套線上模擬系統。現在,使用者上傳3D檔案,10秒鐘,軟體自動檢查壁厚、破損、結構風險。使用者自己改,改完再傳,又是10秒鐘出結果。整個過程不需要任何人工介入。原本幾天的溝通,變成了幾分鐘。這,就是我們的增量價值:用軟體技術把非標定製的溝通成本降到了接近於零。- 04 - 不玩重資產我們不搞重資產投資,我們要做基於軟體的資料調度中心為什麼要這麼做?因為現在的3D列印加工環節,已經“卷”到了極致。在這個行業裡,門檻其實不高。我們接觸過很多工廠,甚至是“夫妻店”。兩口子租幾台裝置,再叫上幾個親戚,四五個人就能開個廠。他們吃住在廠裡,把人工和房租成本壓到最低。產品一克便宜一兩分錢,就能決定訂單去向。而我們要拼的不是單價,而是“總成本最佳化”。軟體會自動分析建議:“親,不需要實心,抽成空心並填充晶格結構,強度足夠。經過這樣一最佳化,這個雞蛋的重量可能減少了60%。那怕我依然按照3毛錢一克的正常價格收費,你最後只需要付15塊錢。為客戶省了大錢,我們保住了利潤率,這才是技術的價值。靠著這份技術硬實力,我們還做了一件獨一份的事:夜間無人自動生產。我們不少客戶是做機器人、無人機的“夜貓子”,凌晨兩三點靈感上頭畫完圖,巴不得立刻拿到實物測試。可我們團隊總不能不睡覺吧?於是,我們推出“8小時極速列印”。客戶夜裡11點上傳檔案,模擬引擎自動“體檢”,確認無誤後指令直達工廠的印表機。第二天早上8點,零件已列印好待發。這實現了7×24小時無人值守生產。做久了,總會遇到一些讓你覺得“這也能打”的硬核需求。有些客戶發來的衛星模型裡,連0.3毫米的螺絲釘都有。我們直接一體成型,細節全保留,外形還原度近100%,還能實現衛星與地面接收器的同步轉動——傳統模型難以做到的精密度。最終,我們只用24小時就打出來了,一米的模型成本可以降到幾千元。這就是3D列印在複雜結構、小批次定製上的優勢:無需開模、不怕複雜、“所見即所得”。傳統工藝需耗時一月、花費 10 萬元的 “墨子號” 量子通訊衛星模型,腦洞數字 24 小時即完成交付,成本僅一兩萬。- 05 - 疫情三年是催化劑回顧過去這幾年,最讓我難忘的其實是2022年。那一年,因為疫情,我們園區連續兩次封控。第一次被封了26天,第二次又封了二十多天。最慘的一次,我們被通知有密接,馬上拉走去賓館隔離。走得太急,我連電腦都沒帶,U盾也沒帶,手裡只有一部手機。在賓館裡,我拿著手機處理員工工資、交房租、給客戶退款。但硬幣總有兩面。雖然疫情讓我們很難受,但站在行業的角度看,它其實反推了3D列印的普及。因為封控讓很多人無法去工廠,被迫上網找服務商。他們發現,只要參數設好,檔案發給合肥或深圳的工廠,質量都一樣。這種“雲端製造”習慣一旦養成,就回不去了。這也是我們訂單量在去年翻倍的原因之一。儘管如此,提到競爭,大家總會說到繞不開的一個話題:“拓竹”(Bambu Lab)。這家公司這兩年太火了,把3D印表機做得像家電一樣好用。很多人問我:“拓竹這麼厲害,你們會不會被捲死?”說實話,我覺得拓竹是友軍。它大大加速了3D列印向大眾的滲透。但我們和它的生態位不一樣。拓竹服務5%的“極客”(愛折騰過程的人);我們服務95%的“結果派”(只想要實物,不想管列印過程)。我們的願景是“從想法到實物”,現在實現了後半段(模型→實物),前半段(想法→模型)是目標。比如你對手機說:“設計個獨角獸手機支架”,AI生成模型再列印。這很美好,但我們不敢在大模型上重投入,像Meta、Google、騰訊的通用大模型太強,是降維打擊。若它們順手把3D建模做了,我們可能瞬間成“炮灰”。所以我們早期的策略很明確:不做底層,只做應用。我們當“連接器”,那家大模型好用就接入誰。客戶在我們平台輸入指令,我們呼叫API生成模型,然後列印成實物交付。我們交付的是“實物”,不是“數字檔案”——這是我們在巨頭陰影下的安全線。- 06 - 建議重點關注出海我建議大家重點關注出海,這也是我的野心。雖然尚未全力推進,但帳算清了。美國的金屬3D列印成本,是中國的三到四倍,時間慢兩三倍。日本的價格也是中國的三倍左右。為何?因為老外的人工太貴了,而且他們不願意加班。在我們這裡,機器24小時連軸轉,夜裡兩三點下機都有人值班。在國外,這幾乎是不可能的。這種成本與效率的剪刀差,就是機會。雖然語言不通,但3D檔案是全世界通用的語言。通過我們的模擬平台,無論客戶來自那裡,上傳後自動分析、報價,無需人工跨時區溝通。我們已經接過一些海外訂單,雖有關稅、物流等麻煩,但我相信:中國供應鏈的極致效率+軟體平台,在國際市場極具殺傷力。常有人問:3D列印未來有多大?我很認同黃奇帆老爺子的一句話:3D列印真正的機會在於大規模定製。現在市場規模約兩三百億,未來可達兆。這其中的增長空間就在每個人生活裡。未來你穿的鞋、戴的頭盔、自行車坐墊、羽毛球拍柄,都可能為你量身定製。曾經運動員定製鞋墊要數萬元,未來通過AI+3D列印,或許只需三四百元。這大概需要5到10年。我們要做的,是活得好,活得穩。如果三年後老客戶向朋友介紹我們,我希望他能說一句:“腦洞數字這家公司,挺靠譜。”“腦洞”代表著我們對未來的想像,那是頂天的;“靠譜”代表著我們對交付的承諾,那是立地的。頂天立地,這事兒就成了。 (鉛筆道)
輪到中國卡脖子了!該技術被列入禁止出口清單,美國3次求購遭拒
鑄鍛銑一體化金屬 3D 列印技術,是中國藏得最深的科技王牌。說起卡脖子技術,很多人只想到光刻機、航空發動機和晶片,卻忽略了這項讓美國坐立不安的關鍵技術。它出自華中科技大學張海鷗教授團隊,能徹底改寫飛機 火箭等裝備的製造規則。美國三次高價求購均被拒,這項技術還被列入禁止出口清單。傳統製造鈦合金零件,流程繁慢。先鑄坯 再鍛造 多次熱處理 最後精銑,共十幾道工序。材料利用率不足十分之一,成本數百萬上千萬,周期按月份算,廢品率極高。這種模式又貴又慢,跟不上裝備迭代需求。張海鷗團隊的技術,直接顛覆傳統。核心是鑄 鍛 銑三道工序整合於一台裝置,邊列印邊用高頻小錘衝擊熔金屬液。讓金屬晶粒縮至微米級,氣孔裂紋幾乎消失,零件強度韌性堪比甚至超越傳統鍛件。過去十幾道工序,現在一台裝置一次成型。成本僅為傳統工藝的幾十分之一,用國產電弧當熱源 普通焊絲做原料,無需進口雷射器和昂貴粉末。一台裝置頂一整條傳統生產線,佔地小 能耗低 無髒累活。還能列印帶複雜冷卻通道的輕量化零件,這是傳統鍛造做不到的。如今殲二十鈦合金大隔框長征火箭儲箱大環件C 九一九主起落架支柱等,均靠該技術批次生產。國外金屬 3D 列印多為 “列印後鍛造” 兩步走,性能比中國差整整兩代。中國就此實現大型鈦合金零件徹底自主可控。張海鷗從一九九五年鑽研該技術。早期雷射熔粉列印成果近乎廢鐵,他轉而將鍛造精華融入列印過程。二零零九年全力攻關,歷經十幾年偵錯。二零一三年做出小樣件,驗證可行性。二零一六年首台裝置問世,二零一八年通過工信部鑑定,獲九位院士認可為國際首創 國際領先。這項技術重要性不輸光刻機,它卡控航空航天 兵器 核電核心部件。此前美俄壟斷大鍛模,中國依賴進口。如今中國可列印任意尺寸複雜零件,自主製造能力讓軍民用航空航天裝備領域徹底翻身。目前已量產交付五百多台套裝置,最大成型尺寸十二米乘四米乘三米。新一代裝置已用於重型運載火箭箭體結構段列印。技術還在升級,已擴展至高溫合金 鋁合金 鋼 鎂合金。核心技術在手,就是真正的國家硬實力。相比仍在追趕的光刻機,中國這項技術已領先,外部壓力無法阻擋。中國靠它打破國外壟斷,在關鍵裝備領域牢牢掌握主動權。 (科技直擊)
中國國產半導體裝置新突破,便宜大碗的自主儲存晶片真要來了?
坐等根據瑞銀(UBS)近期發佈的一份報告,中國半導體裝置領軍企業北方華創(NAURA)在關鍵的深孔刻蝕技術上取得了重大進展。北方華創成功攻克了 90:1 高縱橫比(HAR)深孔刻蝕技術,這為國記憶體儲晶片廠商實現300層以上3D NAND快閃記憶體的製造奠定了裝置基礎。3D NAND技術是當前儲存晶片提高密度的核心路徑,廠商通過不斷堆疊儲存單元層數來提升容量。隨著層數從128層邁向200層乃至300層,對製造工藝的要求也達到了極致。晶片製造商需要在數微米厚的多層材料中,垂直打出直徑僅幾十奈米的“通孔”,以連接各層儲存單元。當層數達到300層以上時,所需的深寬比(即深度與直徑之比)將達到90:1或更高。深孔刻蝕正是實現這一目標的最苛刻工藝之一,長期以來由美國泛林(Lam Research)和日本東京電子(TEL)等國際巨頭主導。在美國對華實施半導體裝置出口管制、先進儲存裝置禁運的背景下,國記憶體儲廠商對核心裝置的中國國產化需求已上升為“必選項”。北方華創此次的技術突破,正是在這一戰略關鍵期的重要進展。值得一提的是,中國另一家刻蝕裝置龍頭中微公司此前也已宣佈具備90:1深孔刻蝕能力,並正在加速攻克100:1技術,顯示出中國裝置廠商在這一領域整體的奮起直追態勢。瑞銀分析指出,如果北方華創的90:1刻蝕裝置能順利獲得中國NAND晶圓廠的訂單,預計將為公司打開數億乃至數十億美元的新增市場空間。同時,由於中國邏輯晶片廠商對先進製程裝置的需求持續旺盛,北方華創來自邏輯客戶的收入也有進一步增長潛力。基於對市場需求的樂觀判斷,瑞銀已將北方華創2026年和2027年的晶圓廠裝置(WFE)收入預測分別上調1%和8%。事實上,北方華創的業務佈局已覆蓋多個高增長領域。公司近期在投資者互動平台上透露,隨著 HBM(高頻寬記憶體)市場需求的爆發,公司在HBM晶片製造領域已形成完整的解決方案。這包括深矽刻蝕、薄膜沉積、熱處理、濕法清洗、電鍍等多款核心裝置。面對投資者關於“美系裝置斷供下訂單情況”的提問,北方華創回應稱,目前公司在儲存裝置和成熟製程裝置方面的訂單保持良好態勢,產品已廣泛應用於中國主流晶片廠商的生產線。當前,AI、雲端運算等新興應用正在推動儲存晶片進入新一輪擴產周期,行業供需缺口明顯。據業內預測,2025 年至2027年全球HBM產能將增長超過300%。中國作為全球最大的儲存晶片消費市場,本土製造的迫切需求為北方華創等中國國產裝置商帶來了歷史性的發展機遇。此次突破,使得國記憶體儲晶片廠商在關鍵裝置採購上擁有了可靠的本土選項,極大地降低了外部管制帶來的風險。配合中微公司的同步進展,中國國產刻蝕裝置正在形成強大的叢集效應,這對於儲存器產業實現自主可控具有里程碑意義。目前中國市場對儲存晶片的依賴度,仍以韓國的三星和 SK 海力士為主,美光也佔據重要地位,有大量的市場等待開拓。當下中國國產DRAM佔據全球市場份額僅3~5%,而NAND快閃記憶體領域也不過是5~8%。而中國是全球雲端運算和 AI 基礎設施增長最快的市場之一,對高性能伺服器記憶體的需求巨大,同時也是全球最大的智慧型手機和 PC 製造及消費市場,對DRAM的需求佔比預估為30~40%。隨著資料中心和 PC 市場對固態硬碟的需求增加,NAND快閃記憶體的需求量持續攀升,在手機儲存上也需要大量的UFS儲存晶片,因此對NAND快閃記憶體的產能需求同樣巨大,佔全球產能1/3左右。而若要實現中國國產裝置儲存全面自主化,這些待開拓的市場價值超過三千億元,這對於我們的企業既是機會也是挑戰。希望我們的儲存晶片企業能夠抓住這次機會,趁上行期好好擴充產能,為全面自主添磚加瓦。 (AMP實驗室)
屏下3D+微透玻璃?iPhone18系列新爆料 | 小米17Pro背屏玩法再上新
蘋果每次新機爆料都非常早,儘管最近兩個月電商大促iPhone 17系列一直熱銷,但也不影響iPhone 18系列的爆料越來越多。現據數位博主@智慧皮卡丘 爆料,iPhone 18系列物料端正在測試屏下3D人臉解鎖,同時還在測試微透玻璃,有望推動一波供應鏈加速。不過需要注意的是,此前也有爆料稱iPhone 18 Pro系列在測試屏下3D,但螢幕形態並不是像努比亞紅魔那樣的無打孔·屏下前置,而是可能變成左側單挖孔螢幕或者基於之前的靈動島顯示面積縮窄。除了螢幕形態變化外,爆料iPhone 18 Pro系列升級還有搭載全新A20 Pro晶片 、蘋果第二代自研C2基帶,升級相機感測器同時有望引入可變光圈、簡化拍照鍵等等,iPhone 18 Pro Max還可能是Pro Max型號首次採用鋼殼電池。細節上,還需要注意的是,爆料iPhone 18系列幾款機型這次可能不會一起發佈,而是Pro系列先發,標準版後發,爆料預計蘋果會在2026年秋季(9月左右)先發iPhone 18 Pro、18 Pro Max和蘋果的首款摺疊屏,2027年再發iPhone 18和iPhone 18e,其中iPhone 18標準版可能依舊是居中挖孔靈動島造型。綜合各種爆料來看,如果iPhone 18 Pro系列採用左側單挖孔多少有些像過渡方案,不如期待2027年·蘋果20周年要迭代的新iPhone。大家覺得蘋果會採用左側單挖孔嗎?另外就是小米17 Pro系列這邊了,今年小米17 Pro和小米17 Pro Max兩款新機採用了背屏設計,官方陸續上新了不少玩法,現據最新消息,又雙叒有新功能了。據網友反饋,小米17 Pro系列手機已開啟HyperOS3.0.34.0正式版內測升級,除了最佳化遊戲性能、最佳化相機畫質效果/提升拍攝的流暢度和穩定性外,最主要就是針對背屏玩法進行了上新:新增背屏個性化趣味壁紙-方糖,新增自訂壁紙支援動態照片/視訊格式,新增在背屏用三指下拉手勢進行截圖、支援多種帶殼螢幕擷圖樣式,新增支援生成的背屏AI壁紙一鍵保存至相簿等等。其中背屏新增的方糖趣味壁紙還有互動屬性,類似養成系電子寵物。 (小白測評)
大疆旁邊咖啡館擠滿了投資人
“以大疆總部天空之城為圓心,半徑10公里內,就能找到不錯的硬體項目。”一家頭部基金投資人聊起今年在深圳掃貨的隱秘地圖。沿著這份投資地圖,你會發現:90後前大疆工程師唐文軒創辦的無弦吉他LiberLive已完成兩輪融資,與大疆相隔300米;大疆昔日電池研發部負責人王雷創辦的正浩創新,距離大疆400米;大疆前高管魏基棟的松靈機器人坐落在南山智園,距離大疆20分鐘車程;還有海外爆紅的深圳智能硬體“三劍客”——影石創新、韶音科技、拓竹科技,都距離大疆不到半小時車程。想起今年以來,見到多位從外地過來的投資人,對方出奇一致地都約在了大疆附近的咖啡館,“我們最近在看AI硬體。”當工程師人才們選擇從大疆出走創業時,往往不會走遠,於是便有了中國硬體創新的新聖地。“每個真正關注AI硬體落地的人,都要去深圳。”年底創投圈,有多家頭部VC正在招聘AI硬體投資人,且無一例外都要求base深圳。如此一幕,正是深圳硬體生態繁榮最具象的縮影。01 “FOMO大疆系”坊間流傳:當年陶冶還在大疆時,曾建議公司入局3D列印,但並未被採納,這才有了後來估值百億美金的拓竹。關於這個故事,投資界得到了另一個版本:一位任職於頭部美元基金的投資人通過校友圈結識了陶冶,交談中瞭解到陶冶對3D列印的構想後,極力鼓勵他出來創業,此後拓竹誕生。告別大疆,陶冶帶走了老同事高秀峰、劉懷宇、陳子涵、吳偉四人,這便是外界熟知的拓竹“創始5人組”。如今再看當年那張五人合影,似乎預示著一個起點——VC追蹤“大疆系”的開始。一家深圳本土VC機構合夥人回憶,內部第一次討論拓竹是在2022年,那時的硬體投資圈,大家都在看戶外電源,普遍認為3D列印賽道太窄,沒有太大的想像空間。然而沒想到,僅過去兩年,這條賽道就被拓竹點燃。此時的拓竹,估值早已水漲船高,大多數投資機構已經錯過了入場機會。今年,陶冶和拓竹成為不少科技投資人研究的對象。脫胎於大疆,拓竹的成長路徑與前東家極其相似,甚至連內部組織、社區搭建、海外市場擴張等都有早期大疆的影子。正因如此,一個群體在VC圈爆紅——“大疆系創業者”。比陶冶早幾年,王雷在2017年離開一手建立的大疆電池研發部,成立移動儲能公司正浩創新;早王雷一年,大疆高管魏基棟決定去做機器人,松靈機器人成立,今年拿下紅杉中國、五源資本、祥峰投資和HKX的億元融資。更有今年剛成立就拿下紅杉中國、經緯創投、美團龍珠融資的妙動科技……這是一串長到讓人驚訝的名單——散是滿天星,現在這群大疆前員工遍佈各個細分賽道創業,梳理下來卻有一個共同點——幾乎聚焦於硬體領域,大多是技術派,崇尚工程師文化。某種程度上,大疆成為中國硬體創業的“黃埔軍校”。“今年投資人fomo大疆系。”Fear of Missing Out,fomo情緒歷來是創投圈風向標。一位VC朋友聊起,從大疆出來的創始人,那怕只有個創業理念,估值都能比別人翻幾倍。02 投資人來找項目大疆系只是一抹縮影。記得一年前,一位長三角投資人朋友把家搬到了深圳,原因很直接:“硬體創業看深圳”已是基本共識,這裡離供應鏈更近,離出海也更近。如今搭上AI浪潮,硬體又一次成為風口。“從去年開始,我們就關注到有一批硬體創業者冒頭,今年‘AI+硬體’成為趨勢後,同行明顯在向深圳乃至大灣區聚集。”一家深圳本土機構的投資人聊起這一幕。此時此刻,深圳硬體融資火爆。最新一幕是戴盟機器人宣佈完成新一輪戰略融資;剛剛過去的11月,兒童智能硬體公司奇朵智能成立僅三個月已完成兩輪種子輪融資;護理機器人公司作為科技拿下近億元融資。更早一些,陪伴機器人躍然創新(Haivivi)完成2億元A輪融資,此外還有聯合飛機、睿魔創新、靈啟萬物、攬月動力、妙動科技……他們的背後,紅杉中國、中金資本、經緯創投、順為資本一眾頭部機構雲集。這當中,另一個新面孔尤為搶眼——小紅書戰投。梳理下來,今年小紅書在深圳密集出手了創立不到半年的陪伴機器人宇靈無限(Skyris)、全自動泡沫軸的雲望創新、做智能肩部護理儀的夢馬創新等項目。不久前,小紅書還開了深圳總部,落地南山區金地威新中心,與騰訊為鄰‌,距離大疆只有不到半小時車程。還有一個不易察覺的現象:原始碼資本、明勢創投、線性資本等多家知名VC最近都在深圳開了年會。人的流動,錢的流動,影響深遠。03 深圳,硬體矽谷爆發縱觀深圳硬體進化史,大疆堪稱一個關鍵轉折點,它徹底重塑了世界對“中國製造”的想像力,撕去了中國硬體“山寨”“低廉”等標籤,潛移默化間也影響了一代創業者,使得一眾年輕人對“搞硬體就去深圳”心嚮往之。正如90後創始人劉靖康,在影石創新創立之初,思來想去還是把公司從南京搬到了供應鏈更完善的深圳。在深圳創業十年,影石創新於今年6月登陸A股,劉靖康成為科創板最年輕的IPO敲鐘人。事實上,深圳一直以來奉行的是“競爭不是單個企業的競爭,更多是生態的競爭”。這是一種更深邃、也更持久的城市競爭策略,使得其硬體生態百花齊放。正如在大疆背後,深圳編織了一張龐大的無人機生態網路,產業鏈涵蓋了從研發、生產到銷售、服務等各個環節。一個世界無人機之都悄然浮現,單是南山區,就有”不出南山就能造一台無人機“的說法。今年火爆的人形機器人賽道,深圳同樣火力全開。據統計,在深圳,頭部人形機器人企業國產化率超90%,產業鏈供應鏈本地化率突破60%。據說在南山“機器人谷”,10公里內基本可以完成機器人從設計到量產閉環。拓竹成為最火獨角獸背後,深圳築造了整個3D印表機全產業鏈,從建模系統到材料裝置再到應用服務,快到上午設計圖紙,下午樣品就能送達產線,“在深圳,每2分鐘就能組裝一台3D印表機”。凡此種種,都是深圳硬體繁榮的一抹縮影。行至當下,新一代年輕創始人正登上歷史舞台。與上一代“外貿製造”出身的創業者不同,他們成長於網際網路時代,對全球市場沒有距離感,之於創業有著全然不同的視野與抱負,全球化可以說是他們做產品構思的起點。如此一來,地處珠江三角洲,背靠全球最完整、最活躍的製造叢集,又面向香港這一國際自由貿易港,這些得天獨厚的地理與產業條件,讓深圳依然是一代代年輕人將硬體夢想付諸實踐的首選之地。不久前,美國《時代》周刊公佈2025年最佳發明榜單,入選的中國產品中有超七成來自深圳,從3D列印裝置、電池、相機,到手機、耳機、AR眼鏡、網球訓練機器人等等,它們都有個共同特徵:“深圳智造”。創新永不落幕,今天的深圳依然是那個“中國最像矽谷的地方”。 (華爾街見聞)