#EUV
ASML EUV光刻機背後的神奇故事
2015年,摩爾定律戛然而止在過去的50多年裡,電晶體變得越來越小,晶片上能容納的數量每兩年翻一番。這就是著名的摩爾定律,由英特爾聯合創始人戈登·摩爾在1965年發現這一規律後命名,它一直是科技行業的主要驅動力之一。但在2015年左右,這一處理程序戛然而止。如果沒有一家製造公司能挺身而出,我們可能永遠無法突破這個瓶頸。對EUV光刻機的嚴格要求想像你被縮小到螞蟻大小,並獲得一把強力雷射,能像切黃油一樣熔化金屬。接著,一滴約白細胞大小的熔融錫滴以每小時250公里的速度從你面前飛過。你的任務是:在20微秒內,用你的雷射連續擊中這個錫滴三次。這正是EUV光刻機所做的:它連續三次擊中一個小錫滴,將其加熱到超過22萬開爾文。這大約是太陽表面溫度的40倍。而且它不只是擊中一個液滴,它每秒鐘要擊中5萬個液滴。光刻機還需要包含可能是全宇宙最平滑的鏡子。如果將其中一面鏡子放大到地球大小,那麼最大的凸起也不會超過一張撲克牌的厚度。除此之外,它能將晶片的一層完美地疊加在另一層之上,誤差不超過五個原子。晶片製造第一步:提取二氧化矽製造微晶片的第一步是取二氧化矽(通常來自沙子),並將其提純為純度接近100%的矽塊,然後在特製熔爐中熔化。接著,將一顆微小的種子晶體放入熔池中。矽原子附著在晶體上,延伸其結構。然後慢慢提升並旋轉種子晶體,最終形成一個巨大的單晶矽錠。單層晶片的製造過程之後用金剛石線鋸將矽錠切割成圓片(晶圓),最多可切成5000片,然後對每一片晶圓進行精細拋光。下一步,塗覆一種稱為光刻膠的光敏材料。在正性光刻膠中,暴露在光線下的區域會變弱且更易溶解。如果讓光線穿過帶圖案的掩範本,就可以選擇性地弱化部分塗層。隨後用鹼性溶液沖洗晶圓,洗掉曝光的光刻膠,留下印刻的圖案。為了將這些圖案轉化為物理結構,通常使用學藥品或電漿體蝕刻裸露的矽,然後沉積銅等金屬來填充這些蝕刻線。最後一步是洗掉剩餘的光刻膠,至此,就完成了一層晶片的製作。晶片製造的四個步驟晶片製造的過程可以簡化為四個主要步驟:塗膠、曝光、蝕刻和沉積。每一層晶片都會重複這個循環,根據晶片的不同,可能會有10到100層。底層是電晶體,這是最複雜的一層,需要數百個必須完美的步驟。高層則容易一些,主要是傳輸訊號和電力的金屬線。最後,完成後的晶圓會有數百個晶片,它們隨後被切割成獨立的塊狀,進行封裝並裝入產品中。光刻中的衍射現象在整個過程中,最困難也最關鍵的一步是光線穿過掩範本照射到晶圓上的過程。這就是光刻,因為這一步決定了能把晶片特徵做得多小。當試圖列印越來越小的特徵時,掩範本上的縫隙開始接近光的波長,這就會產生問題。光的衍射變得不可避免。當一個波的波峰與另一個波的波谷對齊時,它們會互相抵消形成了暗點;而當波峰與波峰對齊時,兩波同相,它們會疊加得到亮點。特徵尺寸和數值孔徑設計者不僅不與衍射對抗,反而利用它來獲得所需的圖案。他們根據最終想要在晶圓上得到的圖案進行逆向推導,設計縫隙,使衍射以特定的方式發生。特徵尺寸越小,零級和一級光之間的夾角 α 就越大。因此,透鏡就需要更大,才能捕捉到光線。透鏡的大小由數值孔徑NA描述,即該角度的正弦值。數值孔徑越大,能列印的特徵就越小。縮小波長可以實現更高的特徵尺寸幸運的是,我們還可以通過改變波長來實現更小的特徵尺寸。紅色雷射波長約為650奈米,如果換成波長532奈米的綠色雷射,會發現衍射後綠色的點比紅色的點靠得更近。這是因為來自兩個不同間隙的光不需要移動那麼遠就能再次達成同相。於是衍射級次靠得更近。因此,使用較短的波長,可以用同樣的透鏡列印更小的圖案。DUV之後,遭遇特徵尺寸極限所有這些都被瑞利方程所涵蓋,它決定了最小特徵尺寸或關鍵尺寸。增加數值孔徑有極限(最大為1),繼續縮小特徵的唯一方法就是使用越來越短的波長。這正是直到1990年代後期所發生的事情,當時行業定格在193奈米的深紫外光DUV,這種光被用於製造直到2015年左右所有最先進的晶片。但到那時,科學家們在縮小特徵尺寸方面已經達到了極限。摩爾定律即將撞上一堵磚牆。因此需要一個徹底的變革,一個已經醞釀了大約30年的變革。木下博雄的想法:使用X射線進行光刻早在1980年代,日本科學家木下博雄提出了一個瘋狂的想法:使用更短的波長,比如10奈米左右的X射線。理論上,這能列印更小的特徵。但這種波長的X射線具有足夠的能量將電子從原子中打出來,所以大多數材料都會吸收它們。與波長小於1奈米的醫療用X射線不同,這些波長仍長到足以與空氣發生相互作用,所以空氣也會吸收它們。這意味著木下的裝置必須處於真空中。更糟的是,透鏡也會吸收它。所以看起來這個想法永遠行不通。但在1983年左右,木下偶然看到了一篇由Jim Underwood和Troy Barbee發表的論文。他們的工作集中在能反射4.48奈米波長X射線的特殊鏡子上。這引起了木下的興趣。曲面鏡可以像透鏡一樣聚焦光線。如果他能弄清楚如何為他使用的波長製造這些特殊的鏡子,那麼這可能是進行光刻的另一種方式。Underwood和Barbee的X射線反射實驗鏡子的工作原理大致如下:當光線從一種介質進入另一種介質時(比如從空氣到玻璃),它會發生折射。部分光穿過去,部分反射回來。反射量的多少取決於角度、光的偏振,以及兩種介質折射率之間的差異。差異越大,反射的光就越多。Underwood 和 Barbee 利用了這一原理。他們製造了不到1奈米厚的超薄鎢層,使透射X射線的路徑長度恰好為其波長的四分之一。接著他們加入了另一層碳,它對4.48奈米波長的折射率比鎢高。X射線撞擊介面後部分被反射,相位改變了半個波長。當新的反射波到達鎢層邊界時,它又行進了四分之一波長,總共行進了半個波長。這樣兩個相位相匹配,波發生了相長干涉。他們總共疊加了76個交替層,從而能反射回更多的X射線。雖然他們當時只設法反射了約6%的光,但這是一個原則性的證明,說明了可以反射X射線。木下設計了發射11奈米光多層膜鏡,不被主流認可木下看到了其中的可能性。大約兩年後,他的團隊設計並製造了三面由鎢和碳組成的彎曲多層膜鏡,用於反射11奈米的光。利用這些鏡子,他成功列印出了4微米(4000奈米)厚的線條,證明了在理論上X射線光刻是可行的。一年後,即1986年,他去日本應用物理學會發表了他的研究結果。他既自豪又興奮地解釋了他的裝置並展示了圖像。但聽眾拒絕相信這一切。木下深受打擊。他後來回憶道,人們傾向於把整件事看作是天方夜譚。沒人相信這是一條可行的道路。來自光源和鏡面的雙重挑戰這種反應至少在某種程度上是有道理的。首先,地球上沒有任何自然物能產生這種光,最近的天然來源是太陽。大多數科學家(包括木下)使用粒子加速器或同步輻射裝置來產生X射線。它們能提供巨大的能量,大到像足球場一樣。因此,每台機器都需要自己的動力源。但即便你能產生這種光,還需要製造極其平滑的鏡子來聚焦並列印那些細小的特徵。如果鏡子表面相對於波長非常粗糙,光線就會發生漫反射。對於普通的家用鏡子,凸起的平均高度大約是4000個矽原子的厚度。但對於需要反射X射線的鏡子,需要達到原子級的平滑。平均凸起只能有約2.3個矽原子厚。如果一面鏡子有德國那麼大,那麼最大的凸起也就大約1毫米高。來自美國的技術支援但木下拒絕放棄。很快,援軍從一個意想不到的地方趕到了。太平洋彼岸,舊金山東邊約70公里處是勞倫斯利弗莫爾國家實驗室。這是一個誕生於冷戰時期的實驗室,由美國政府巨額資助,其唯一目標就是核武器。該實驗室由迴旋加速器的發明者歐內斯特·勞倫斯和氫彈之父愛德華·泰勒共同創立。在其整個生命周期中,他們設計了10多種聚變核彈頭。因此,他們的部分研究集中在核聚變反應內部發生了什麼。聚變反應釋放出大量的X射線,那是他們以前從未能捕捉和分析的光。但現在,利用那些特殊的多層膜鏡,他們有了一個機會。Andrew Hawryluk利用多層膜鏡實現X射線反射Andrew Hawryluk是負責這項工作的科學家之一。幾年內,他和他的團隊利用多層膜鏡反射了一些X射線。1987年聖誕,他寫了一篇白皮書,將這些鏡子應用到光刻中,大約五個月後提出了用X射線印刷晶片的發現。他在一次會議上發表了他的研究。但和木下一樣,他也沒得到預期的回應,觀眾的反應極其負面。他感覺自己走到了職業的低谷。但三天後,他接到了貝爾實驗室Bill Brinkman的電話,他是AT&T的執行副總裁,邀請Hawryluk去紐澤西做個報告。在貝爾實驗室,他找到了志同道合的人。在過去的30年裡,美國政府向國家實驗室投資了數十億美元,以在冷戰期間保持國家的技術領先地位。但之後冷戰趨於緩和,這些實驗室掌握著具有商業潛力的研究成果。因此政府鼓勵實驗室與美國公司合作,將研究轉化為產品以刺激經濟。2000年,EUV原型機產生9.8W的EUV光1996年,美國政府削減了EUV項目的資金。這對英特爾這樣的大型晶片公司來說是一場災難。行業估計,193奈米的光刻工具到2005年將落後於摩爾定律,而且當時沒有其他替代方案。於是,英特爾、摩托羅拉、AMD等公司聯合起來投資了2.5億美元以維持項目運轉,這是私營工業界對美國能源部研究項目進行過的最大規模投資。到2000年,實驗室研製出了工程測試台。它是第一台功能齊全的EUV原型機。它能產生9.8瓦、13.4奈米的EUV光,經過八面鏡子從光源反射到掩模再到晶圓。它能列印70奈米的特徵,並證明了EUV是可行的。原型機一小時智能列印10片晶圓但原型機有一個重大缺陷。它每小時只能列印約10片晶圓。而要使EUV具有經濟可行性,它必須每小時列印數百片,且全年全天候運行。產量如此低的主要原因是光線要經過八面鏡子和掩模(掩模也是一面刻有圖案的鏡子)。傳統的透射式掩模行不通,因為它們會吸收所有的光。每面鏡子的反射率約為70%,這已接近極限,但經過九次反射後,最後只剩下4%的光。這意味著每100個光子中只有4個能到達晶圓。少用幾面鏡子只在一定範圍內有效,今天的EUV系統有六面鏡子。但在經過六面鏡子和掩模反射後,仍然只剩大約8%的光。因此,他們需要將光源功率大幅提高到至少100瓦。對大多數公司來說,這十倍的增長似乎是不可能的。甚至參與工程測試的人也指出,雖然EUV技術本身已成定局,但要讓其成為晶片生產線上的現實,還面臨著無數個工程挑戰。美國公司退出EUV開發,ASML獨自前行於是,美國公司一個接一個地退出了完整EUV光刻機的開發。最後只剩下一家公司:阿斯麥ASML。ASML位於荷蘭一個不起眼的小鎮。它在80年代從飛利浦拆分出來時,只有一間簡陋的廠房和一台幾乎無法工作的晶圓步進機。但飛利浦也給了他們人才,ASML 的第一位研究員Jos Benschop和最終成為ASML首席技術官、EUV最堅定支持者的Martin van den Brink。他就是光刻界的史蒂夫·賈伯斯,預見到了EUV的到來。ASML之前加入了美國的 EUV 財團,現在的任務是找到商業化 EUV 的方法。他們將與德國合作夥伴蔡司(Zeiss)合作,蔡司負責鏡子,ASML 負責光源。矽和鉬在13奈米下的最高反射率70%製造任何光刻系統時,首要決定就是使用那種波長。Underwood和Barbee已經製造出了能反射約4奈米光線的鏡子。因為那些鏡子的最高反射率僅為20%左右,經過六面鏡子和掩模後,光線只剩下 0.00128%,這顯然太低了。幸運的是,研究人員還考察了另外兩對組合:矽和鉬,在13奈米波長下理論最高反射率為70%;以及鉬和鈹,在11奈米波長下理論最高反射率為80%。因為鈹具有極高的毒性,且極難處理。因此,科學家們轉而專注於矽和鉬。蔡司使用濺射工藝製造鏡子為了製造鏡子,蔡司使用了一種稱為濺射的工藝。塗層材料的靶材受到電漿體或離子的轟擊,導致原子被彈出、飛出並粘附在鏡面上。這是一個混亂的過程,所以層表面會產生凸起和縫隙。他們完善了一個巧妙的技巧,利用離子束輔助。只需稍微‘搖晃’一下,直到原子掉進它該去的小孔裡,然後整個表面就平整了。放電產生電漿體的方法功率受限鏡子設計確定後,ASML需要一個特定波長的光源。基本上有三種方法來產生EUV。早期研究人員使用的第一種方法是同步輻射,但由於每台機器都需要自己的獨立光源,它很快就被排除了。另外兩種方法基於相同的原理。當電子與離子復合時,離子會下降到較低的能級,並以光子的形式釋放多餘能量。如果選對了離子,那麼光子的波長恰好就是你需要的。有兩種方法可以產生這些離子。第一種是將金屬加熱直至產生金屬蒸汽,然後在其兩端施加強電場。這會導致自由電子撞擊附近的原子並使其電離。如果此時關閉電場,電子與離子復合產生光。這就是放電產生電漿體DPP。這是ASML最初使用的概念,因為它相對簡單。但只能達到了幾瓦的功率,無法達到期望的100瓦。最終選擇高功率雷射撞擊目標材料產生EUVASML需要徹底改變方案,於是轉而採用第二種方法。這種方法使用高功率雷射撞擊目標材料,產生超過22萬℃的高溫電漿體。電子能量極大,以至於原子核再也無法束縛它們,多達14個電子脫離軌道。雷射關閉後,電子和離子復合產生光。這就是雷射產生電漿體LPP,也是唯一看起來具有可擴展性的方法。事實上,這正是之前工程測試台所使用的方法,用一台1700瓦的雷射器射入氙氣流中,產生13.4奈米的光。使用錫滴替代氙氣但氙氣有一個大問題:轉換效率非常糟糕,只有約0.5%。這是因為氙雖然在13到14奈米範圍內發光,但它在11奈米左右釋放的光更多。所以大部分能量都用來製造鏡子無法反射的光了。此外,雷射並沒有電離所有原子,剩餘的中性氙原子會強烈吸收部分13.4奈米的EUV光。於是 ASML開始研究另一種材料:錫。錫在13.5奈米左右有一個高得多的發射峰,其轉換效率比氙高出5到10倍。但與氙一樣,中性錫原子也會吸收EUV光。於是他們想出了一個瘋狂的主意:每次只發射一個微小的錫滴。但為了獲得所需的功率,必須每秒製造並擊中數萬個錫滴,且所有液滴的形狀和大小必須完全一致。通過精密調製,產生完美的錫滴事實證明,無法瞬間製造出數千個完全相同的錫滴。於是他們找到了一個折中方案。為了製造液滴,極純的錫被熔化,並通過高壓氮氣推過一個微型噴嘴。這個噴嘴以高頻振動,將液流破碎成微小的液滴。這些液滴起初在大小、形狀、速度和間距上都是不規則的,整個過程非常混亂。他們的秘密武器就是如何調製這股錫噴流,使其形成想要的、穩定的液滴。看起來噴嘴射出的所有液滴最初都是不規則的,但在到達雷射擊中點之前,這些不規則的小液滴會聚合在一起,形成間距完美、規則且大小形狀一致、速度相同的液滴。每秒產生5萬個高速錫滴這些液滴不僅必須完全相同,還必須移動得飛快。如果下一個飛來的液滴離得太近,它就會受到干擾並破壞下一次電漿體激發。所以ASML既要求每秒產生5萬個液滴,又要求它們飛行速度極快。到2011年,他們的雷射產生電漿體光源達到了11瓦,比之前的光源翻了一番。但他們仍受限於每小時僅5片晶圓的產出。他們需要快速提高功率,因為他們承諾到2011年底達到每小時60片晶圓的產出。使用氫氣處理剩餘的錫,保證鏡面清潔ASML這種新方法有一個重大缺陷。錫的問題在於,雖然能以很高的效率產生EUV光。需要處理剩下的錫,因為就在30釐米外,就放著蔡司製造的原子級平滑、非常精美且昂貴的鏡子。那怕只有1奈米厚的錫掉在集光鏡上,那面鏡子就報廢了。這些機器需要運行一年,ASML需要讓它在一年內保持近乎完美的清潔。他們用到的主要的工具實際上是氫氣。他們在腔體中充入低壓氫氣,可以減緩並冷卻錫顆粒。即使有些錫落到了集光鏡上,氫氣也會將其剝離,形成一種叫做甲錫烷的氣體。這樣機器在運行的同時也在進行自我清潔。但這些氫氣也會因為那些錫爆炸而變熱。因此,他們需要不斷向系統中注入新的、更涼爽的氫氣,同時排出甲錫烷和過熱的氣體。壓力和流速控制必須恰到好處。氫氣太少,鏡子會變髒;氫氣太多,不僅會吸收過多的EUV光,還會導致系統過熱。需要以360km/s的速度沖洗氫氣為了搞清楚有多少能量沉積在氣體中,我們買了一個超高速攝影機。他們觀察到,在每次電漿體激發後,都有一道衝擊波傳播到氫氣中,而且重複性極高。於是有了泰勒-馮·諾依曼-謝多夫公式,它能解釋從核爆炸到超新星爆發等各種環境下的點源爆炸。ASML團隊用這個公式,完美契合了資料。EUV光源每秒發生5萬次這種微型超新星爆發。利用這些能量計算,他們發現需要以約每小時360公里的速度沖洗氫氣,那比五級颶風還要快。“ASML EUV光刻機背後的神奇故事”蔡司即時測量鏡面角度,實現高精度控制但2012年過去了,他們仍然沒有足夠的功率。事實上,到2013年,ASML通過每秒射擊5萬個錫滴才剛達到50W。但功率增加也帶來了代價:功率越高,熱量越高。熱量最終會導致鏡子發生輕微偏移,導致光線失準和晶片層錯位。於是蔡司直接在光學系統中內建了一套神經系統,利用機器人引導的感測器即時測量每面鏡子的精確位置和角度,精度達到奈米級和皮弧度級。這種精度相當於在地面發射移到雷射到月球表面,控制雷射從月球表面一枚硬幣的一側移到另一側。這讓他們在功率增加的情況下也能控制光線。在EUV尚未成功時,就押注High NA EUV儘管蔡司在光學方面做得極其出色,ASML仍在為動力源苦苦掙扎。問題在於錫滴密度太大,這意味著大部分發射出的EUV光在到達集光鏡之前就被中性原子重新吸收了。他們轟擊液滴的方式光線不夠,碎片太多。更糟糕的是,他們預見到大約10年後將需要新一代機器——高數值孔徑(High-NA)EUV機,這種機器擁有更大的光學系統,能列印更小的特徵。他們不僅全部押注在EUV上,而且在還沒確定它能否成功之前就加倍下注。要求主要客戶投資研發但為了維持開發,他們需要巨額資金。於是ASML 聯絡了它的主要客戶,告訴他們得通過向ASML投資來讓他們能投更多錢。英特爾投資了約41億美元,三星和台積電合起來又投資了13億美元。研發得以繼續,但由於拿不出產品,客戶的耐心正在耗盡。他們在每次會議上都被‘公開處刑’,因為去年承諾的事情沒能兌現。他們會說:這是你兩年前展示的,這是你去年展示的,這是你今年告訴我的。我憑什麼相信你?”轉機:兩次雷射打擊錫滴開發團隊開始變得絕望,2013年ASML仍掙紮著提高EUV功率。最終轉機來自於改變雷射擊中錫滴的方式:不再只打液滴一次,而是打兩次。“第一槍擊中液滴,使其膨脹成薄餅狀。然後才發第二槍,即更強大的主脈衝,將其蒸發並轉化為電漿體。”這是一個重大突破。通過將目標從液滴改為薄餅狀,為雷射蒸發提供了更大的表面積,且沒有增加額外碎片或中性原子的代價,因為現在錫滴是一次性被蒸發的。到2014年,他們終於達到了夢寐以求的100W大關。使用雷射幕簾,精確擊中每個錫滴但隨著193奈米多重曝光技術的改進,意味著EUV只有在達到200瓦且每小時產出125片晶圓時才有價值。其中一個問題是,如何完美計時雷射以擊中每個液滴。這就像是你要讓一個高爾夫球落在200米外的小洞裡,不是落在果嶺上滾進去,而是直接空心入洞,每一次都要中。那些錫滴穿行在氫氣流的大漩渦中,速度極快,就像在龍捲風中射高爾夫球,然後在它降落在洞口的一瞬間被雷射擊中。為了追蹤液滴,ASML使用了雷射幕簾,可以監測液滴何時穿過。那些散射的光子會告訴他們液滴何時何地出現。從而精準告知何時發射雷射。通過真空中注入適量氧氣,讓集光鏡保持更久的清潔隨著光源功率的提升,在開始製造機器之前還有一個最後的問題需要解決。雖然氫氣保護了集光鏡免受碎片的侵害,但它並不完美。密集的高能光子和氫離子到處亂竄,損壞了集光鏡上的一種特殊頂層塗層。導致他們每10小時就得清洗一次鏡子,這對生產效率來說太糟糕了。Martin van den Brink每天都詢問進度。後來一位工程師注意到,每次他們打開機器時,鏡子突然顯得乾淨了一些。他由此受到啟發,提出給系統加入一點點氧氣,或許就能確保集光鏡能保持更久的清潔。於是他們開始實驗真空環境下所需的氧氣量,最後得出了結論:加入特定量氧氣,就能讓集光鏡保持更久的清潔。有了這個修正方案,ASML的機器可以連續運行更長時間,終於具備了商業可行性。2016年,開始交付EUV到2016年,訂單開始接踵而至。現在所有最先進的晶片都需要ASML的機器,這使他們或許成為了世界上最重要的科技公司。ASML的首批商業化機器數值孔徑為0.33,可以列印13奈米的線條。這些被稱為低數值孔徑機器,ASML目前仍在製造。但Jan的團隊早在2012年就開始研究的是下一代,它擁有更大的光學系統,能列印更小的特徵。這就是高數值孔徑EUV,數值孔徑達到0.55。單台價格超過3.5億歐元。人類第一台High NA EUV這是人類建造過最先進的機器。歷經多年、數十年的研發和數百億美元的投入,才造就了這個龐然大物。這是第一台High-NA機器。人類歷史上列印出的第一批8奈米線條,就是出自這台機器。地球上最平滑的物體全都在這台機器裡面。雷射系統被棕色的櫃子蓋住,但ASML展示了一個模型版本。一個功率僅幾瓦的二氧化碳雷射器進入這個放大器,在裡面來回反射,直到功率增加到原來的五倍。隨後它要經過總共四個不同的放大器,使最終的雷射達到20000瓦,這比切割鋼材的雷射還要強四倍。實現每秒10萬錫滴的雷射打擊ASML第一代EUV機器與最新一代之間的一個改進是擊中液滴的脈衝數量。第一個預脈衝仍將液滴壓扁成薄餅狀,但現在有了第二個預脈衝進一步降低其密度。它基本上將其變成了低密度氣體,使其稀疏化。然後最後的脈衝基本上將其全部電離。這樣,對於驅動雷射器輸出的相同功率,他們能獲得更多的EUV光。ASML目前出貨的最新EUV光源大約在500W水平,他們將頻率提高到了每秒60000次。他們的路線圖是朝著每秒10萬個液滴進發。他們現在已經在實驗室演示了每秒10萬個液滴。所以這不再是是否的問題,而是何時的問題。太瘋狂了。目前出貨的高數值和低數值孔徑機器都使用三個脈衝,並最終將擊中更多的液滴。EUV光源只是完整機器的一小部分但光源只是完整機器的一小部分。EUV光在集光鏡反射後,進入照明器。一組鏡子在光線撞擊掩範本之前對其進行整形和聚焦。掩範本位於上半部分,這個模組是在單獨的設施中建造並稍後安裝的。接著光線進入投影光學箱,這是一組縮小光線的鏡子。高數值孔徑機器可以在垂直方向將圖案縮小八倍,在水平方向縮小四倍。鏡子也更加平滑。如果低數值孔徑的鏡子有德國那麼大,最高的凸起約1毫米。但如果高數值孔徑的鏡子有世界那麼大,最高的凸起只有一張撲克牌的厚度。通過這些改進的結合,ASML將數值孔徑從0.33提升到了0.55。最後,光線撞擊晶圓。為了達到每小時列印185片晶圓的速度,掩範本以超過20g的加速度來回抽動。這超過了F1賽車加速度的五倍。這是機器內部的實際影像,不是加速播放。EUV機器需要實現驚人的精度,層間偏差小於1奈米這台機器最瘋狂的地方不在於掩範本移動得有多快,甚至不在於它能列印多小,而是它必須達到的驚人的精確度。任意兩層之間允許的最大偏差(即套刻精度)是1奈米。這是五個矽原子的精度。通常ASML系統工程師會做一個預算。整體允許誤差一奈米,然後他們將這一奈米再細分下去到每個小組。每個小組為屬於他們的那部分奈米而奮鬥。EUV光刻機充滿了迷人的反差感:如此巨大的機器、這麼多的基礎設施,只為了製造人類能規模化製造的最小的東西。你想去的地方越微觀,周圍的一切就變得越宏觀。需要7架波音747、25輛卡車運輸機器組裝、測試並獲批後,會被拆解運往世界各地。5000家供應商提供10萬個零件、3000根電纜、4萬個螺栓和2公里的軟管。ASML運輸一台高數值孔徑機器需要250個集裝箱,分裝在25輛卡車和7架波音747貨機中。儘管充滿了懷疑和挫折,EUV終於在木下博雄拍下第一張圖像30年後進入了製造領域。但即便在全世界幾乎都不相信它能成功的時候,ASML的一些人早在2001年就預見到它能行。為了讓EUV成功,他們克服了成千上萬個障礙,奮鬥了30多年。這不由得讓人想起一句話:理性的人讓自己適應世界;而不理性的人堅持讓世界適應自己。因此,所有的進步都取決於那些不理性的人。 (梓豪談芯)
美安全專家:阻擋不了中國晶片發展,但趁著中國沒有EUV光刻機,可以盡全力拖慢他們
01. 前沿導讀美國國際關係與安全政策專家Paul J. Saunders、美國資訊技術創新基金會創始人Robert D. Atkinson、美國喬治梅森大學國家安全研究所創始人Jamil Jaffer在聯合座談會中表示,我們不可能阻擋中國晶片產業的發展,高端晶片是給手機、ai產業用的東西,大量的軍用或者民用產品並不需要3nm晶片,並且中國完全有能力製造出這些普通晶片。美國在短期內可以拖住中國的發展腳步,畢竟中國需要拿出時間來解決EUV光刻機的問題。這可能會花費中國企業十年八年的時間,那怕是只能拖慢中國5年的發展時間也挺好。但是從長遠來看,中國企業終將會摸索出解決先進晶片發展的辦法。02. 侷限性據湖北日報新聞報導指出,2025年中國成熟晶片的市場份額達到28%,並且其產業影響力還在持續上升,中國晶片已經在質量、數量、價格等多個方面對全球產業造成影響。美國的制裁已經讓中國產業的重心轉向本土技術,在近幾年以及未來的規劃中,中國企業的傳統晶片擴張速度將要超過全球同業。美國商務部曾針對中國成熟晶片啟動了301調查,經審查發現大約有四分之一的美國產品中至少含有一個來自於中國製造的晶片,並且中國晶片的成本只有整體成本的6%,價格上面的優勢也讓許多美國企業愈發對中國成熟晶片產生依賴。從《晶片法案》到現在的關稅政策,美國所推動的大方向是先進製造業回流。在製造業回流的基礎上,通過對光刻機的出口管制,壓制中國在先進晶片領域的發展,將中美之間的技術差距進一步拉大。據中國日報發佈的新聞報導指出,但就生產製造來說,美國本土製造業存在空心化情況,缺少擴大晶片生產所必須的資源以及經濟條件。福耀玻璃、富士康等中國企業曾經與美國企業合資建廠,拓寬海外管道,但是在營運的過程中都遇到了不同程度的困難。川普總統以關稅豁免為由,敦促蘋果公司將供應鏈遷回美國本土。但是美國本土缺乏製造產品所需的供應鏈體系與人力資源成本,一直在這個問題上面得不到有效的解決,只能先將供應鏈轉向越南、印度等地。美國對中國進行出口管制的影響,也同步擴大到了其他企業身上。三星、SK海力士、台積電均在中國大陸地區建設有合資工廠,美國法案要求這些受美國資助的企業不允許在中國大陸地區擴大生產,導致這些合資工廠無法獲得先進的製造裝置,進而對其整體的經濟發展造成了衝擊。2026年1月1日,台積電發佈聲明稱已獲得美國政府發放的年度許可證,可以繼續向中國南京分工廠輸送製造裝置。三星以及SK海力士也均獲得了許可,可以向中國大陸地區的合資工廠輸送裝置。美國政策的反覆變動,充分證實了其存在的侷限性與錯誤性,本打算壓制中國成熟晶片的發展,實際上只對相關的海外企業造成了影響。03. 產業韌性據七一網轉載重慶日報新聞指出,2017年美國挑起對華貿易戰,中國半導體產業成為了美國圍追堵截最慘了的行業之一。然而福禍相依,美國打壓的同時激發起了中國企業的突圍決心。美國對中國科技的封鎖雖然短期內給中國科技企業造成了一定的困擾,卻加速了中國核心技術發展的處理程序。中國的市場廣闊,晶片產業的銷售規模約為全球的33%。美國從產品到技術,再到人才,全面限制美國企業與中國企業建立合作關係,禁止中國企業用美國的技術裝置發展晶片產業。不過從長遠來看,這是一把雙刃劍。美國正在將全球最大的消費市場拱手讓給歐洲、日韓以及中國本土企業。據央廣網轉載每日經濟新聞指出,2025年10月份的灣芯展是中國晶片產業的一次成果展示,一款裝置的打磨通常需要5至6年的時間,而展會當中所展示出來的產品均為現有裝置或者是針對現有裝置的升級款,並不代表參展企業的真實技術水平。甚至部分關注度較高的敏感技術企業,在核心進度上是對外是保密的。國產製造裝置已具備一定體量的規模,部分環節具備國產替代的能力,但是在先進裝置上還有較大的突破空間。有半導體分析師對記者表示,提到中國的半導體產業,大家第一反應就是卡脖子,光刻機進不來、EDA用不了、高端晶片買不到,這是曾經中國半導體產業的真實寫照。如今這個風氣正在發生變化,從發展趨勢來看,製造裝置肯定是以國產替代為核心,逐步建構一條自主可控的晶片產業鏈。 (逍遙漠)
ASML總裁再度回應中國芯,目前沒有任何證據表明中國接近技術突破,並估計中國晶片製造整體落後全球約8年
近日,荷蘭媒體《電訊報》對ASML總裁克里斯托夫·富凱(Christophe Fouquet)及首席財務官羅傑·達森(Roger Dassen)進行了深度專訪,聚焦全球半導體格局與中國晶片產業的發展前景。在談及中國能否突破高端光刻技術封鎖時,富凱態度明確:“目前沒有任何確鑿證據表明中國已站在EUV光刻機技術突破的臨界點。這是一項耗時十年以上的系統工程,絕非短期衝刺所能達成。”他進一步指出,自2018年起,中國便未能獲得任何一台ASML的極紫外(EUV)光刻裝置。“從技術演進角度看,這意味著中國在EUV相關製程能力上,整體落後全球先進水平大約8年。”儘管如此,富凱也承認,中國在持續高強度投入下,已在部分中低端光刻領域取得實質性進展,例如乾式ArF光刻機的研發與應用。合作曾深入,出口管製成轉折回顧歷史,ASML與中國半導體產業的合作由來已久。早在2002年,中芯國際便開始採購ASML的i線和DUV(深紫外)光刻機,雙方建立起長期穩定的技術與商業紐帶。為保障服務響應,ASML還在上海周邊設立辦事處與備件中心,形成完整的本地化支援體系。2018年,合作迎來關鍵節點——中芯國際以1.2億美元簽約採購中國大陸首台EUV光刻機,標誌著其向7奈米及以下先進製程邁出重要一步。然而,這一交易很快成為中美科技博弈的犧牲品。在美國政府持續施壓下,荷蘭當局於2019年撤銷了該裝置的出口許可證,導致這台本應交付中國的EUV光刻機至今滯留海外。據知情人士透露,時任ASML CEO彼得·溫寧克(Peter Wennink)曾多次向美方表達異議,強調ASML作為荷蘭企業秉持中立原則,不應被捲入地緣政治爭端。他還警告稱,過度封鎖將迫使中國加速建構自主產業鏈,“把原本流向我們的訂單,變成扶持本土裝置商的資本”。出口管制的“雙刃劍”效應對此,CFO羅傑·達森分析指出,美國主導的出口管制本質上是一場“技術代差管理”策略。“部分政客希望維持一個‘可控的落後’——既不讓中國追上,又不至於逼其徹底脫鉤。”但他坦言,這種平衡極其脆弱。一旦差距拉得過大,反而會激發中國在核心技術上的全面自主化決心。事實上,近年來中國在光刻領域的投入已呈指數級增長。國家大基金、地方產業基金以及頭部晶圓廠紛紛加碼半導體裝置研發。儘管路透社等外媒曾援引“匿名消息源”稱中國已研製出EUV光刻原型機,但截至目前,尚無權威機構或企業公開驗證其性能參數或量產可行性。富凱對此回應稱:“我們注意到相關報導,也理解中國在無法獲取先進裝置的情況下選擇自主研發是合乎邏輯的。但必須清醒認識到,從原型機到可穩定量產、具備高良率的商用裝置,中間隔著巨大的工程鴻溝。”他以ASML自身發展歷程為例:公司於2006年推出首台EUV原型機,歷經12年技術迭代、數千名工程師協作、數百億美元投入,才在2018年實現真正意義上的量產應用。未來格局:競爭與共存並存儘管短期內中國難以撼動ASML在高端光刻市場的壟斷地位,但ASML高管層並不否認中國崛起帶來的長期影響。“中國擁有全球最大的晶片消費市場,也有強大的製造基礎和政策執行力,”達森表示,“即便在受限條件下,其在成熟製程(如28奈米及以上)的產能擴張和技術最佳化,仍將深刻重塑全球供應鏈。”富凱最後總結道:“我們尊重每一個國家發展科技的權利。但技術進步沒有捷徑。EUV不是買來的,也不是喊口號就能造出來的——它需要時間、生態、人才和無數次失敗後的堅持。中國正在走這條路,而這條路,我們走了三十年。”在全球半導體產業進入“新冷戰”時代的背景下,ASML的態度既顯謹慎,亦帶一絲無奈。而中國,則在封鎖與自主創新的夾縫中,堅定地邁向下一代光刻技術的無人區。 (晶片研究室)
外媒溯源“中國沒有EUV”!
“中國已秘密獲得EUV光刻機”這一在網路上流傳近十年的傳言,昨日被科技媒體TechSpot的系統性調查正式證偽。報導通過比對荷蘭海關、ASML年報、全球半導體裝置追蹤庫SEMI TRAC以及中國生態環境部輻射安全許可證資料,得出一致結論:截至目前,中國大陸境內沒有任何一台可量產的極紫外(EUV)光刻機,所有18台ASML登記在冊的“中國交付”裝置均為深紫外(DUV)型號,最高支援7nm工藝節點。謠言源頭可追溯至2014年一張模糊社交平台照片——據稱拍攝於上海臨港某倉庫,外界猜測箱內為NXE:3300B EUV。TechSpot記者調取當年荷蘭鹿特丹港出口編碼,發現該批次海關單號對應的是“198-0.33 NA DUV Scanner”,即浸沒式DUV,並非EUV;同時,照片EXIF資訊顯示拍攝地點實為ASML新加坡維修中心,與“上海倉庫”說法不符。ASML 2023年財報顯示,公司累計出貨218台EUV,分佈為台積電156台、三星34台、英特爾28台,剩餘“0”台流向中國大陸。荷蘭經濟部出口管制清單也披露,2019—2024年間針對中國的57份光刻機出口許可中,沒有一份涉及13.5 nm波長系統;所有獲批型號最高為Twinscan NXT:2050i,套刻精度<2 nm,但光學解析度止步於7nm。TechSpot進一步獲得中國生態環境部輻射安全許可證資料庫記錄,顯示全國現持“Ⅰ類射線裝置”許可證的晶片製造企業共持有ASML裝置64台,其中18台標註為“極紫外”字樣的申請最終被退回或改為DUV,原因皆為“缺少荷蘭政府EUV出口批文”。這意味著,即便企業想進口,也無法跨越歐盟與美國的雙重管制。對於網路流傳的“二手EUV借道第三國”說法,報導亦予以駁斥。全球海關資料平台Panjiva顯示,2018—2023年所有標註“EUV”字樣的貨物轉運記錄最終收貨地均為美國、韓國或台灣,沒有中轉港發往中國大陸;且EUV整機需專用低振動恆溫運輸船,船期、港口及保險資訊均可在海事局公開查詢,目前未發現異常航線。國內晶圓廠內部人士對記者表示,公司確實曾在2018年評估過“黑市EUV”可行性,但備件、軟體更新及現場服務無法繞過ASML遠端鎖機,“花數億美元買一台不能開機的大鐵櫃毫無意義”。目前,國產7nm產線仍依賴DUV多重曝光,5nm及以下節點尚未進入量產。TechSpot指出,謠言持續發酵的背後,是外界對中國半導體技術跳躍式發展的擔憂,以及“技術神秘主義”情緒。事實上,中國尚未攻克EUV光源、真空腔、超精密反射鏡等核心子系統,短期內無法自造同類裝置。報導最後強調,EUV仍是全球管制最嚴的單一裝置,任何一台出貨、運輸、安裝都有跡可循,“只要數字對不上,神話就永遠只是神話”。隨著美國2024年10月新增“Foreign Direct Product”規則,未來即便非荷蘭企業試圖轉讓EUV相關技術,也需美國許可。對中國大陸而言,7nm節點天花板在短期內難以突破,國產替代與DUV工藝最佳化仍是最現實的路徑。 (晶片行業)
英特爾Fab 52揭秘:已安裝4台EUV光刻機,規劃月產能4萬片
12月23日消息,隨著美國大力發展本土晶片製造業,英特爾、台積電、三星都在積極擴大在美國的產能。其中,作為“主場作戰”的英特爾,其目前在美國本土所擁有的產能無疑是最多的。特別是英特爾位於亞利桑那州錢德勒的Fab 52 晶圓廠,無論是在製程節點的先處理程序度、技術複雜度,還是規劃產能上,都已顯著超越台積電目前在亞利桑那州的佈局。據Tom′s Hardware 援引CNBC報導,英特爾Fab 52 是一座專為未來而生的頂級晶圓廠,其核心使命是生產Intel 18A及更先進製程。為了達成這一目標,英特爾匯入兩大革命性技術:RibbonFET 全環繞閘極(GAA)電晶體:這是英特爾在電晶體架構上的重大升級,目的在提升性能並降低功耗。PowerVia 背面供電網路:通過將供電線路移至晶圓背面,解決了傳統正面供電導致的布線擁擠與壓降問題。Intel 18A 的複雜度與精細度,遠遠超過台積電亞利桑那州Fab 21 第一期N4 或 N5 製程。即使與台積電 N4P 或 第二期工程的 N3 製程相比,Intel 18A 規格依然更具領先性。已安裝4台ASML EUV光刻機,未來整個園區將擴增至15台以上對於一座先進製程晶圓廠的實力來說,往往取決於極紫外光(EUV)光刻裝置。 英特爾Fab 52 安裝了四台ASML Twinscan NXE 標準數值孔徑EUV 系統。其中至少包括一台NXE:3800E,這是ASML 目前最先進標準數值孔徑的EUV 系統。△英特爾 Fab 52 晶圓廠內的ASML EUV光刻機據瞭解,NXE:3800E 包括更快的晶圓傳輸系統、更高效的晶圓台以及更強大的光源。在30mJ/cm² 的曝光劑量下,NXE:3800E 每小時可處理高達220 片晶圓。相較之下,廠內另外三台NXE:3600D 系統在同樣曝光劑量下的產能僅為每小時160 片。英特爾計畫在亞利桑那州的Silicon Desert 園區總共部署至少15 台EUV 光刻裝置。雖然目前尚不清楚其中有多少比例會是新一代的High-NA EUV裝置,也不清楚會有多少會被分配到即將建設的Fab 62。但至少15 台EUV光刻裝置這個數字,表示英特爾擁有極大的空間來進一步擴充其產能上限。月產能4萬片生產規模方面,英特爾Fab 52 擁有強大的產能。滿負載運轉時,產能可達每周10,000 片晶圓的,換算後約為每月40,000 片晶圓。以當今產業標準來看,這是一座規模極其龐大的超大型晶圓廠。相比之下,台積電亞利桑那州Fab 21晶圓廠已經量產的一期工程只有每月20,000片晶圓的產能,因為台積電通常以約每月20,000 片為一個生產產線。這代表著,英特爾Fab 52 的單廠產能,相當於台積電Fab 21 第一期與第二期兩個產線的產能總和。產能利用率及良率挑戰儘管技術與裝置處於領先地位,但英特爾與台積電在美國的佈局策略存在顯著差異,這也帶來了不同的營運挑戰。對於英特爾來說,其高風險高回報的模式正利用Fab 52 生產Panther Lake和Clearwater Forest處理器。目前的Intel 18A 技術仍處於良率曲線的早期階段。英特爾預計要到2027 年初,Intel 18A 的良率才能達到最高水準。在此之前,英特爾可能會刻意控制CPU 的產量,這代表著Fab 52 的產能利用率在短期內將維持在較低水平,部分時間可能會處於閒置狀態。△由英特爾Fab 52製造的基於Intel 18A製程的Clearwater Forest至於台積電,通過穩紮穩打模式在美國採用的是已經過驗證的較成熟製程(如N5/N4)。這種策略使其能夠快速提升產量,並讓工廠的產能利用率迅速接近100%。因此,兩這兩種不同的佈局,顯示出英特爾在亞利桑那州扮演的是技術開拓者的角色,試圖在美國本土直接建立最尖端的技術標竿。而台積電則傾向於將已經成熟的產線轉移至美國,以確保商業運行的穩定與效率。總結來說,英特爾在亞利桑那州的Fab 52 代表了美國本土製造的最先進佈局。它擁有更先進的Intel 18A 製程、更強大的EUV 裝置群,以及兩倍於台積電一期項目的產能潛力。雖然在2027 年良率成熟之前,英特爾在產能利用率上可能無法與台積電匹敵,但Fab 52 的存在確實鞏固了英特爾做為美國晶片之王的地位。這場對決最終的勝負,將取決於英特爾能否在2027 年如期達成Intel 14A 製程獲得頭部的外部客戶的訂單。 (芯智訊)
美媒:中國正在建設EUV光刻原型機,但距離真正量產晶片還需至少10年時間
01. 前沿導讀據美國科技媒體Tom‘s HardWare報導稱,中國正在建設EUV光刻系統的原型機,預計2030左右製造原型晶片。該裝置有前ASML員工的參與,不過目前尚不清楚中國裝置的進展細節。ASML曾經在一份聲明中寫道,有企業想要複製我們的技術是合情合理的,但想要做到這一點絕非易事。中國的產業技術正在加速發展,但目前只是進入到了原型機的環節,距離中國企業採用國產EUV裝置量產晶片仍需要至少10年時間。參考資料:China may have reverse engineered EUV lithography tool in covert lab, report claimshttps://www.tomshardware.com/tech-industry/semiconductors/china-may-have-reverse-engineered-euv-lithography-tool-in-covert-lab-report-claims-employees-given-fake-ids-to-avoid-secret-project-being-detected-prototypes-expected-in-2028#xenforo-comments-389061402. 國產EUV據技術報告指出,中國的原型機採用了與ASML NXT裝置相同的雷射誘導電漿體技術(LPP),具備產生極紫外雷射的能力,但並未實現通過該技術製造晶片的目標。雷射發射器需要與反射鏡組、工作台、真空室相結合,涉及到大量的精密光學系統,這都是中國企業需要解決的問題。德國蔡司為ASML的EUV光刻機提供了整套光學成像裝置,其中包括了塗覆多層鉬矽堆疊的超精密集光鏡系統、用於均勻化光束的多鏡片照明光學元件、用於實現4倍至8倍縮小成像且波前誤差低於奈米級的投影光學元件。ASML聯合美國西盟公司,解決了光源以及雷射發射器的問題。發射器將極紫外光發射出去,然後極紫外光經過蔡司的一整套成像裝置之後,便可以將掩範本上的圖案印刷到晶圓上面。從裝置技術上來說,光源發射器是EUV光刻的第一步,只是解決了光源的問題,想要將光源應用到晶片製造,必須要有類似於蔡司的這一套光學成像裝置。如果沒有成像裝置,那麼只是掌握了最基礎的光源,無法正常驅動光刻機。EUV裝置包含了超10萬個零部件,覆蓋了全球超5000家供應商,並且還需要成百上千的專業工程師對其進行偵錯維護。光刻機產業代表了整個生態系統,需要進行全產業鏈的協同發展。前ASML研究部研究員林楠,曾經在2021年回國加入了上海光機所,僅用了18個月的時間,便申請了8項與EUV相關的技術專利。2025年10月,林楠離開上海光機所,來到北京航空航天大學擔任積體電路科學與工程學院教授。國際媒體對此分析稱,林楠更多的是運用自己的經驗和知識,幫助中國團隊在光刻機領域提升基礎技術。他並沒有複製自己在ASML的技術方法,而是通過經驗幫助中國團隊重新開發技術並申請專利,在自主智慧財產權上面提前進行佈局。03. 技術追趕根據ASML官方資料顯示,ASML第一台可以製造晶片的EUV光刻機發佈於2006年,支援28nm線寬和間距的晶片製造,交付給了美國奧爾巴尼的奈米科學與工程學院和比利時魯汶的微電子中心。該裝置屬於演示裝置,可以製造晶片,但無法大規模量產。2010年,ASML新一代的NXE:3100光刻系統進行交付。2013年,可量產的EUV光刻機NXE:3300開始交付。2017年,可以大規模製造7nm、5nm晶片的NXE:3400B開始量產交付。從可驗證的原型裝置到完全大規模商用的EUV光刻機,ASML經過了11年的時間。這11年裡面主要就是解決兩個問題,列印電晶體線寬的精度、規模化量產的能力,這兩點同時也是中國自主裝置需要解決的問題。成像系統、儲存系統、晶圓台、光罩載台等裝置對於光刻機的運行和良品率的高低有直接關係,這些技術裝置均需要特定企業單獨進行研發,從而在最後階段拼裝成一個完全的裝置投入使用。並且光刻機的製造對於環境條件要求也很高,根據ASML的官方資料顯示,ASML的光刻機潔淨室遵循ISO 1標準,每立方米空氣中僅允許10個直徑僅為十分之一微米的顆粒,且不允許任何大於該尺寸的顆粒存在。在晶片製造中,一粒微小的灰塵都可以造成災難性的經濟損失。如果有灰塵落在晶片的圖案上,那麼光線穿過之後會反射到矽片上面,從而出現斑點。一旦有灰塵斑點,那麼整塊晶圓將會直接廢棄。先進的EUV光刻機屬於工業裝置,工業裝置需要運行起來製造產品,從而創造商業化的經濟價值。如果裝置無法進入到商業化領域,那麼其只能留存在實驗室裡面當做驗證技術的原型裝置,這完全有悖於裝置的實用價值。目前來看,進展順利的情況下2030年實現的願景將會是原型機的初步產品製造,距離大規模的商業化進展還需要多年的裝置最佳化。 (逍遙漠)
外媒:相較於美國,中國有「舉國科研」優勢,晶片、EUV都能攻克
全球科技競爭越來越激烈,外媒都在關注中國有舉國科研體制,在晶片、EUV 光刻機這些核心技術領域的突破,美國《紐約時報》、路透社這些媒體都說,中國憑著集中力量辦大事的製度優勢,正慢慢打破西方的技術封鎖,在半導體領域實現了從跟跑到並跑的跨越。核心技術突破的關鍵在於全鏈條創新,哈工大科研團隊成功研發出波長精準控制達十三點五奈米的極紫外光源技術,繞開了ASML 的專利封鎖,給EUV 光刻機國產化打下了堅實基礎,中科院換了創新思路,採用自由電子光源路徑,百分之重比國際標準光,百分之十五,樣機參數很亮眼,它能支援七奈米過程,吞吐量達到每小時一百萬片,雙工件台技術實現了每小時三百片的高速晶圓交換,精度能做到奈米級震動控制,已經追平了ASML 的水平,中芯國際的預採購協議顯示,國產EUV 的量產時間的百分之六十。這些技術突破離不開舉國體制的強力支撐,政策與資金的雙重保障缺一不可,中國透過十四五規劃這​​樣的頂層設計,明確把晶片和EUV光刻機列為國之重器,國家積體電路基金二期規模超過兩千億元,重點投向設備、材料、設計這些關鍵環節,稅收政策也給出了實打實的支援,高新技術企業在二零二二年新購置的設備,當年就能一次性全額稅前扣除,科技型中小型企業的研發費用加計扣除比例從百分之七十五提高到了百分之百,地方政府也積極跟進,上海、北京等地紛紛出台配套措施,浦東新區的綜合改革試點方案裡,明確支援科創板期權產品,還為跨境技術交易提供本外幣結算便利。國際社會對中國的科技突破反應強烈,美國國會下屬的美中經濟與安全評估委員會報告指出,中國在人工智慧、量子技術、生物技術等領域的投入,已經對美國形成戰略挑戰,美國經濟學家彼得・彼得裡認為,中國聚焦科技自立自強,尤其是晶片和EUV光刻機領域的突破,將對全球科技格局產生深遠影響,世界知識產權組織的報告顯示,二零二五年中國創新指數首次躋身全球前十,擁有的全球百強創新叢集數量達到二十四個,連續三年位居各國之首。面對技術封鎖加劇、人才短缺這些現實挑戰,中國沒有被動應對,而是主動透過量子光刻、奈米壓印等前沿技術佈局未來賽道,隨著十五五規劃的推進,中國預計在五到十年內實現五奈米EUV光刻機的量產,進而支撐起兆級規模的晶片產業,推動全球半導體形成多極化格局,這場科技自立之戰,不只是簡單的技術革新,更是工業文明話語權的重要交接,當哈工大的極紫外光源點亮​​松江畔的晶片矽基時,人類正在見證科技版圖的重構。 (科技直擊)
日媒:中國多年前開始申請EUV和2nm晶片的專利,全球的競爭對手需要緊張了
01. 前沿導讀根據日經中文網發文指出,日經XTECH、日經ELECTRONICS根據日本專利調查公司Patentfield的專利分析工具對中美晶片公司的技術專利進行了總體分析。從整體的數據資訊來看,中國大陸企業在GPU、電晶體結構、晶片製造技術等多個領域開始對美國老牌企業窮追猛打,並且在先進技術領域提前進行技術佈局,與美國、韓國等國家的企業展開持久性的未來競爭。中國企業在晶片產業上搶佔技術制高點的決心,對國際企業造成了一種未知的恐懼感。02. 技術專利2019年,中國企業在GPU領域的專利申請數量開始呈現上升的趨勢。到了2023年,中國的技術申請數量達到了3091項,對比2018年的數量增長幅度將近10倍,相當於美國英特爾的3倍、輝達的5倍,但是遠低於韓國三星。三星電子的大規模專利申請,與高頻寬記憶體有直接關係。高頻寬記憶體與GPU進行ai領域的協同工作,三星本身就是記憶體業務的巨頭,這種大規模技術申請的數量也標誌著三星正在為以後的ai產業埋下技術伏筆。在技​​術含量最高的電晶體和晶片製造領域,中國企業正在緩慢地向前推進。現階段的國際晶片產業,一致認為想要將晶片技術推進到2nm的下一個時代,採用GAA電晶體結構是最直觀的技術方案。晶片的電晶體結構分為三個階段,14nm以上的製程採用平面電晶體結構,14nm及以下製程使用胡正明開發的Fin FET電晶體結構,到了2nm及以下工藝,需要採用GAA電晶體結構。在GAA架構的專利申請中,台積電毋庸置疑的成為了全球企業的老大。而大陸企業則是在2018年開始佈局,2023年的時候申請了大約20項相關專利。雖然數量不多,但還在持續推進,這種在專利層面的循序漸進,也標誌著中國企業正在進行未來2nm晶片的研究,與國際巨頭打持久戰。在EUV以及光刻技術的專利申請上面,中國大陸企業也在積極佈局。由於美國的製裁封鎖,大陸企業無法獲得先進的EUV光刻機,這極大限制了中國開發自主先進晶片的進度。在此之前,中國晶片企業一直以設計為主,並且在某些設計領域具備與美國企業比拚的實力。但是本土製造技術與設計水準存在脫節,以至於美國的出口管制讓許多中國企業空有設計能力,卻無法將晶片製造出來銷售。這也在一定程度上迫使設計企業進入晶片製造領域,並聯合國內的老牌晶圓工廠解決先進晶片的卡脖子問題。03. 技術路徑儘管中國被封鎖了EUV光刻機,但是中國企業曾經採購了一批先進的浸潤式DUV光刻機,這些DUV光刻機搭配先進的刻蝕機,再加上自對準多重圖案化技術(SAQP)實現7nm晶片的製造。根據前側積電研發副總裁林本堅在個人作品中表示,多重圖案化技術對於套刻精度的要求很高,假設套刻的CD偏差為1.5%,如果該偏差無法得到有效的解決,那麼其最終將會導致6階的誤差也就是8.4%,這對於晶片製造來說是致命的影響。前台積電研發處長、前中芯國際董事楊光磊也在接受採訪時表示,採用比EUV差一些的浸潤式光刻機製造先進晶片,這是可行的方案,也是一條被驗證過的方案。但想要繼續製造5nm甚至是更先進的晶片,理論上是可能的,不過良品率不可控,所投入的資源也不可控,這完全是一個技術無人區。尤其是對於那些沒有涉足製造業的晶片公司來說,這個難度就更大了,短時間內看不到成果,需要持續累積經驗才有可能成果。彭博社早在2024年就發布了相關報告,報告指出中國本土企業正在嘗試使用有限的設備,透過傳統方法製造5nm晶片。這種方法無異於霸王硬上弓,目前在國際層面還沒有企業能夠成功。台積電、三星、英特爾都已轉向EUV技術,中國企業是目前唯一以DUV光刻機衝擊5nm晶片的企業。未來2nm晶片的結構將會從Fin FET過渡到GAA,電晶體內部的閘極四麵包圍著奈米片,因此會電晶體會獲得更好的電流控制,盡量避免量子穿隧效應。以現在的情況來看,中國企業已經在積極佈局電晶體結構的技術專利,從底層的基礎結構開始研發,逐步建立一套屬於中國企業的晶片產業鏈。在沒有解決EUV光刻機的情況下,採用浸潤式微影機和自對準多重圖案化技術依然是折中且成熟的方法。麒麟晶片的重新回歸,標誌著中國企業已經走通了採用多重圖案化技術製造先進晶片的路線,下一步就是要繼續降低供應鏈風險,實現晶片製造的可持續性發展。在確保供應鏈體係安全穩定的情況下,去嘗試透過現有的技術設備製造全新電晶體結構的晶片。 (逍遙漠)