#EUV光刻機
外媒溯源“中國沒有EUV”!
“中國已秘密獲得EUV光刻機”這一在網路上流傳近十年的傳言,昨日被科技媒體TechSpot的系統性調查正式證偽。報導通過比對荷蘭海關、ASML年報、全球半導體裝置追蹤庫SEMI TRAC以及中國生態環境部輻射安全許可證資料,得出一致結論:截至目前,中國大陸境內沒有任何一台可量產的極紫外(EUV)光刻機,所有18台ASML登記在冊的“中國交付”裝置均為深紫外(DUV)型號,最高支援7nm工藝節點。謠言源頭可追溯至2014年一張模糊社交平台照片——據稱拍攝於上海臨港某倉庫,外界猜測箱內為NXE:3300B EUV。TechSpot記者調取當年荷蘭鹿特丹港出口編碼,發現該批次海關單號對應的是“198-0.33 NA DUV Scanner”,即浸沒式DUV,並非EUV;同時,照片EXIF資訊顯示拍攝地點實為ASML新加坡維修中心,與“上海倉庫”說法不符。ASML 2023年財報顯示,公司累計出貨218台EUV,分佈為台積電156台、三星34台、英特爾28台,剩餘“0”台流向中國大陸。荷蘭經濟部出口管制清單也披露,2019—2024年間針對中國的57份光刻機出口許可中,沒有一份涉及13.5 nm波長系統;所有獲批型號最高為Twinscan NXT:2050i,套刻精度<2 nm,但光學解析度止步於7nm。TechSpot進一步獲得中國生態環境部輻射安全許可證資料庫記錄,顯示全國現持“Ⅰ類射線裝置”許可證的晶片製造企業共持有ASML裝置64台,其中18台標註為“極紫外”字樣的申請最終被退回或改為DUV,原因皆為“缺少荷蘭政府EUV出口批文”。這意味著,即便企業想進口,也無法跨越歐盟與美國的雙重管制。對於網路流傳的“二手EUV借道第三國”說法,報導亦予以駁斥。全球海關資料平台Panjiva顯示,2018—2023年所有標註“EUV”字樣的貨物轉運記錄最終收貨地均為美國、韓國或台灣,沒有中轉港發往中國大陸;且EUV整機需專用低振動恆溫運輸船,船期、港口及保險資訊均可在海事局公開查詢,目前未發現異常航線。國內晶圓廠內部人士對記者表示,公司確實曾在2018年評估過“黑市EUV”可行性,但備件、軟體更新及現場服務無法繞過ASML遠端鎖機,“花數億美元買一台不能開機的大鐵櫃毫無意義”。目前,國產7nm產線仍依賴DUV多重曝光,5nm及以下節點尚未進入量產。TechSpot指出,謠言持續發酵的背後,是外界對中國半導體技術跳躍式發展的擔憂,以及“技術神秘主義”情緒。事實上,中國尚未攻克EUV光源、真空腔、超精密反射鏡等核心子系統,短期內無法自造同類裝置。報導最後強調,EUV仍是全球管制最嚴的單一裝置,任何一台出貨、運輸、安裝都有跡可循,“只要數字對不上,神話就永遠只是神話”。隨著美國2024年10月新增“Foreign Direct Product”規則,未來即便非荷蘭企業試圖轉讓EUV相關技術,也需美國許可。對中國大陸而言,7nm節點天花板在短期內難以突破,國產替代與DUV工藝最佳化仍是最現實的路徑。 (晶片行業)
英特爾Fab 52揭秘:已安裝4台EUV光刻機,規劃月產能4萬片
12月23日消息,隨著美國大力發展本土晶片製造業,英特爾、台積電、三星都在積極擴大在美國的產能。其中,作為“主場作戰”的英特爾,其目前在美國本土所擁有的產能無疑是最多的。特別是英特爾位於亞利桑那州錢德勒的Fab 52 晶圓廠,無論是在製程節點的先處理程序度、技術複雜度,還是規劃產能上,都已顯著超越台積電目前在亞利桑那州的佈局。據Tom′s Hardware 援引CNBC報導,英特爾Fab 52 是一座專為未來而生的頂級晶圓廠,其核心使命是生產Intel 18A及更先進製程。為了達成這一目標,英特爾匯入兩大革命性技術:RibbonFET 全環繞閘極(GAA)電晶體:這是英特爾在電晶體架構上的重大升級,目的在提升性能並降低功耗。PowerVia 背面供電網路:通過將供電線路移至晶圓背面,解決了傳統正面供電導致的布線擁擠與壓降問題。Intel 18A 的複雜度與精細度,遠遠超過台積電亞利桑那州Fab 21 第一期N4 或 N5 製程。即使與台積電 N4P 或 第二期工程的 N3 製程相比,Intel 18A 規格依然更具領先性。已安裝4台ASML EUV光刻機,未來整個園區將擴增至15台以上對於一座先進製程晶圓廠的實力來說,往往取決於極紫外光(EUV)光刻裝置。 英特爾Fab 52 安裝了四台ASML Twinscan NXE 標準數值孔徑EUV 系統。其中至少包括一台NXE:3800E,這是ASML 目前最先進標準數值孔徑的EUV 系統。△英特爾 Fab 52 晶圓廠內的ASML EUV光刻機據瞭解,NXE:3800E 包括更快的晶圓傳輸系統、更高效的晶圓台以及更強大的光源。在30mJ/cm² 的曝光劑量下,NXE:3800E 每小時可處理高達220 片晶圓。相較之下,廠內另外三台NXE:3600D 系統在同樣曝光劑量下的產能僅為每小時160 片。英特爾計畫在亞利桑那州的Silicon Desert 園區總共部署至少15 台EUV 光刻裝置。雖然目前尚不清楚其中有多少比例會是新一代的High-NA EUV裝置,也不清楚會有多少會被分配到即將建設的Fab 62。但至少15 台EUV光刻裝置這個數字,表示英特爾擁有極大的空間來進一步擴充其產能上限。月產能4萬片生產規模方面,英特爾Fab 52 擁有強大的產能。滿負載運轉時,產能可達每周10,000 片晶圓的,換算後約為每月40,000 片晶圓。以當今產業標準來看,這是一座規模極其龐大的超大型晶圓廠。相比之下,台積電亞利桑那州Fab 21晶圓廠已經量產的一期工程只有每月20,000片晶圓的產能,因為台積電通常以約每月20,000 片為一個生產產線。這代表著,英特爾Fab 52 的單廠產能,相當於台積電Fab 21 第一期與第二期兩個產線的產能總和。產能利用率及良率挑戰儘管技術與裝置處於領先地位,但英特爾與台積電在美國的佈局策略存在顯著差異,這也帶來了不同的營運挑戰。對於英特爾來說,其高風險高回報的模式正利用Fab 52 生產Panther Lake和Clearwater Forest處理器。目前的Intel 18A 技術仍處於良率曲線的早期階段。英特爾預計要到2027 年初,Intel 18A 的良率才能達到最高水準。在此之前,英特爾可能會刻意控制CPU 的產量,這代表著Fab 52 的產能利用率在短期內將維持在較低水平,部分時間可能會處於閒置狀態。△由英特爾Fab 52製造的基於Intel 18A製程的Clearwater Forest至於台積電,通過穩紮穩打模式在美國採用的是已經過驗證的較成熟製程(如N5/N4)。這種策略使其能夠快速提升產量,並讓工廠的產能利用率迅速接近100%。因此,兩這兩種不同的佈局,顯示出英特爾在亞利桑那州扮演的是技術開拓者的角色,試圖在美國本土直接建立最尖端的技術標竿。而台積電則傾向於將已經成熟的產線轉移至美國,以確保商業運行的穩定與效率。總結來說,英特爾在亞利桑那州的Fab 52 代表了美國本土製造的最先進佈局。它擁有更先進的Intel 18A 製程、更強大的EUV 裝置群,以及兩倍於台積電一期項目的產能潛力。雖然在2027 年良率成熟之前,英特爾在產能利用率上可能無法與台積電匹敵,但Fab 52 的存在確實鞏固了英特爾做為美國晶片之王的地位。這場對決最終的勝負,將取決於英特爾能否在2027 年如期達成Intel 14A 製程獲得頭部的外部客戶的訂單。 (芯智訊)
美媒:中國正在建設EUV光刻原型機,但距離真正量產晶片還需至少10年時間
01. 前沿導讀據美國科技媒體Tom‘s HardWare報導稱,中國正在建設EUV光刻系統的原型機,預計2030左右製造原型晶片。該裝置有前ASML員工的參與,不過目前尚不清楚中國裝置的進展細節。ASML曾經在一份聲明中寫道,有企業想要複製我們的技術是合情合理的,但想要做到這一點絕非易事。中國的產業技術正在加速發展,但目前只是進入到了原型機的環節,距離中國企業採用國產EUV裝置量產晶片仍需要至少10年時間。參考資料:China may have reverse engineered EUV lithography tool in covert lab, report claimshttps://www.tomshardware.com/tech-industry/semiconductors/china-may-have-reverse-engineered-euv-lithography-tool-in-covert-lab-report-claims-employees-given-fake-ids-to-avoid-secret-project-being-detected-prototypes-expected-in-2028#xenforo-comments-389061402. 國產EUV據技術報告指出,中國的原型機採用了與ASML NXT裝置相同的雷射誘導電漿體技術(LPP),具備產生極紫外雷射的能力,但並未實現通過該技術製造晶片的目標。雷射發射器需要與反射鏡組、工作台、真空室相結合,涉及到大量的精密光學系統,這都是中國企業需要解決的問題。德國蔡司為ASML的EUV光刻機提供了整套光學成像裝置,其中包括了塗覆多層鉬矽堆疊的超精密集光鏡系統、用於均勻化光束的多鏡片照明光學元件、用於實現4倍至8倍縮小成像且波前誤差低於奈米級的投影光學元件。ASML聯合美國西盟公司,解決了光源以及雷射發射器的問題。發射器將極紫外光發射出去,然後極紫外光經過蔡司的一整套成像裝置之後,便可以將掩範本上的圖案印刷到晶圓上面。從裝置技術上來說,光源發射器是EUV光刻的第一步,只是解決了光源的問題,想要將光源應用到晶片製造,必須要有類似於蔡司的這一套光學成像裝置。如果沒有成像裝置,那麼只是掌握了最基礎的光源,無法正常驅動光刻機。EUV裝置包含了超10萬個零部件,覆蓋了全球超5000家供應商,並且還需要成百上千的專業工程師對其進行偵錯維護。光刻機產業代表了整個生態系統,需要進行全產業鏈的協同發展。前ASML研究部研究員林楠,曾經在2021年回國加入了上海光機所,僅用了18個月的時間,便申請了8項與EUV相關的技術專利。2025年10月,林楠離開上海光機所,來到北京航空航天大學擔任積體電路科學與工程學院教授。國際媒體對此分析稱,林楠更多的是運用自己的經驗和知識,幫助中國團隊在光刻機領域提升基礎技術。他並沒有複製自己在ASML的技術方法,而是通過經驗幫助中國團隊重新開發技術並申請專利,在自主智慧財產權上面提前進行佈局。03. 技術追趕根據ASML官方資料顯示,ASML第一台可以製造晶片的EUV光刻機發佈於2006年,支援28nm線寬和間距的晶片製造,交付給了美國奧爾巴尼的奈米科學與工程學院和比利時魯汶的微電子中心。該裝置屬於演示裝置,可以製造晶片,但無法大規模量產。2010年,ASML新一代的NXE:3100光刻系統進行交付。2013年,可量產的EUV光刻機NXE:3300開始交付。2017年,可以大規模製造7nm、5nm晶片的NXE:3400B開始量產交付。從可驗證的原型裝置到完全大規模商用的EUV光刻機,ASML經過了11年的時間。這11年裡面主要就是解決兩個問題,列印電晶體線寬的精度、規模化量產的能力,這兩點同時也是中國自主裝置需要解決的問題。成像系統、儲存系統、晶圓台、光罩載台等裝置對於光刻機的運行和良品率的高低有直接關係,這些技術裝置均需要特定企業單獨進行研發,從而在最後階段拼裝成一個完全的裝置投入使用。並且光刻機的製造對於環境條件要求也很高,根據ASML的官方資料顯示,ASML的光刻機潔淨室遵循ISO 1標準,每立方米空氣中僅允許10個直徑僅為十分之一微米的顆粒,且不允許任何大於該尺寸的顆粒存在。在晶片製造中,一粒微小的灰塵都可以造成災難性的經濟損失。如果有灰塵落在晶片的圖案上,那麼光線穿過之後會反射到矽片上面,從而出現斑點。一旦有灰塵斑點,那麼整塊晶圓將會直接廢棄。先進的EUV光刻機屬於工業裝置,工業裝置需要運行起來製造產品,從而創造商業化的經濟價值。如果裝置無法進入到商業化領域,那麼其只能留存在實驗室裡面當做驗證技術的原型裝置,這完全有悖於裝置的實用價值。目前來看,進展順利的情況下2030年實現的願景將會是原型機的初步產品製造,距離大規模的商業化進展還需要多年的裝置最佳化。 (逍遙漠)
美國技術機構:如果中國遲遲造不出EUV,跟日本企業合作,採用佳能的裝置是突破封鎖的唯一機會
01 前沿導讀據美國半導體技術機構SemiAnalysis所發佈的專欄報告指出,日本佳能的奈米壓印(NIL)技術在國際晶片領域被冠以“匹敵甚至超過EUV的能力”,從理論上來說,奈米壓印可以達到甚至是超過EUV光刻機的曝光解析度,並且裝置的製造成本比EUV光刻機更低。但是奈米壓印技術存在多種問題,例如零件的損耗、多層圖案的對齊精度、製造邏輯晶片的良品率等問題。日本的奈米壓印裝置與ASML主導的傳統光刻裝置不同,儘管美國也對日本企業實施出口管制,但奈米壓印裝置還可以進行出口。如果中國企業在EUV領域的進展緩慢,那麼與日本佳能合作,嘗試使用奈米壓印技術製造晶片,也是一條可行的技術路線。02 奈米壓印奈米壓印與光學光刻的核心理念是一致的,都是將掩範本上面的圖案轉移到晶圓上面。先進行多層的圖案化疊加,然後進入到刻蝕、沉積等工藝步驟,最終完成整個晶片之後進入封裝環節。只不過光學光刻使用光源透過光縫快速掃描印刷,而奈米壓印則是使用一種特定的“印章”,將圖案進行機械化印刷,這兩種技術存在本質上的差別。奈米壓印技術,最早是由美國普林斯頓大學的華人科學家周郁在1995年提出的技術。2001年,奈米壓印從學術界逐步過渡到商業化的範疇,成立了分子壓模公司 (Molecular Imprints Inc.),開始將奈米壓印技術應用在製造半導體晶片上。2014年,在日本佳能公司收購了分子壓模公司之後,又聯合了日本印刷株式會社、鎧俠控股等多個企業共同開發奈米壓印的晶片製造技術。並且佳能將奈米壓印技術定義為ASML EUV的替代方案,企圖用這種方法來縮短與ASML的技術差距。目前為止,全球的晶片製造格局變成了ASML、尼康、佳能三家比拚,中國企業緊隨其後。ASML持有浸潤式DUV光刻機和EUV光刻機這兩大王牌裝置,尼康走的是傳統光刻,旗下有乾式DUV和浸潤式DUV裝置,但裝置的技術水平落後於ASML。佳能擁有乾式DUV光刻機,並且還持有已經商用的奈米壓印裝置。2023年10月13日,佳能宣佈推出型號為“FPA-1200NZ2C”奈米壓印光刻機裝置。據佳能表示,該裝置的硬體能力支援最小線寬14nm的晶片製造,相當於是邏輯晶片的5nm節點。隨著掩模技術的提升,該裝置可以實現2nm節點的邏輯晶片製造,並且其技術成本要比ASML的EUV裝置低很多。03 現存問題佳能的奈米壓印裝置已經交付給了鎧俠集團和鎂光科技,用於製造快閃記憶體晶片。儲存晶片與邏輯晶片存在本質上差別,儲存晶片的結構簡單,重複度高,對於掩模的要求較低,甚至幾百層的圖案結構都是一致的。而邏輯晶片涉及到CPU、GPU、NPU等多種電晶體的圖案設計,其每層的電路圖案都不一樣,這對於掩模和裝置精度要求很高。奈米壓抑的機械印章非常細小,其尺寸相當於人類頭髮的橫截面。現在使用這個裝置每秒壓印一次晶片,只要是機械印章出現一丁點的缺陷或者是損耗,這都會直接影響晶片的良品率。傳統光學光刻所使用的掩範本,其使用壽命支援光刻100000個晶圓,而奈米壓印所使用的掩範本壽命遠低於光學掩範本。想要解決這個問題,就必須投入資源去開發適配的材料,不但成本高,而且耗時長,這也是奈米壓印遲遲沒有製造邏輯晶片的原因之一。我們將目光投向中國大陸市場,杭州璞璘是中國唯一一家深耕奈米壓印技術的企業,由該公司製造的PL-SR系列奈米壓印裝置已經在2025年8月份正式交付給客戶使用。並且該公司的創始人葛海雄先生,師從奈米壓印技術發明人周郁博士,具備20年以上的技術開發經驗。根據璞璘公司的資料顯示,該裝置是迄今為止唯一在國內初步實現20nm以下高端晶片所需的奈米壓印裝置。並且公司還向市場供應了包括範本複製膠、耐刻蝕型奈米壓印膠、刻蝕傳遞膠、光學奈米壓印膠、耐腐蝕奈米壓印膠、增粘膠、防粘試劑在內的40余種製造材料,建立起一條全新的晶片產業鏈。佳能在硬體裝置上,對比中國現存的產品具備明顯優勢。但中國企業的路線是押注傳統光學光刻和奈米壓印光刻兩種技術路線,並且這兩種技術路線平行研發。在無法獲取EUV裝置的前提下,奈米壓印技術是一個可以嘗試的技術方案,但奈米壓印現存的問題就是製造先進邏輯晶片的損耗大、良品率低,可以當做備選方案,光學光刻技術依然是主流的選擇。 (逍遙漠)
台積電EUV光刻機重大突破!節電1.9億度!
全球半導體製造技術迎來能效突破。台積電近日宣佈,通過技術創新已成功將其極紫外(EUV)光刻裝置的峰值功耗降低了44%,這一成果標誌著晶片製造業在綠色可持續發展方面取得重要進展。EUV光刻作為當前最先進的晶片製造工藝,其能耗問題一直是行業關注的焦點。台積電通過最佳化裝置運行模式、改進光源系統和提升製造流程效率等多重技術手段,實現了顯著的節能效果。據估算,這一技術突破預計到2030年將為公司節省約1.9億千瓦時的電力消耗,相當於減少約10萬噸碳排放。技術細節顯示,台積電主要從三個維度推進EUV裝置能效提升:首先是通過智能調度演算法最佳化裝置運行狀態,避免不必要的能源浪費;其次是改進光刻機內部的熱管理系統,降低冷卻系統能耗;最後是提升製造工藝的穩定性和良率,減少裝置重複作業的需要。這一能效突破對半導體產業的可持續發展具有重要意義。隨著晶片製程不斷微縮,製造工藝的能耗成本持續攀升,EUV作為關鍵工藝環節的節能改進,將有效降低先進製程的營運成本,同時減輕對環境的影響。業內專家指出,台積電的EUV節能技術不僅體現了企業在技術創新方面的領先實力,更展現了半導體行業對綠色製造的堅定承諾。在全球積極推進碳中和的背景下,半導體製造業的能效提升將成為行業可持續發展的重要保障。隨著人工智慧、5G等新技術對晶片需求持續增長,半導體製造業的能耗問題日益凸顯。台積電此次技術突破為行業提供了可借鑑的能效提升方案,預計將推動整個產業鏈加快綠色轉型步伐。未來,半導體製造技術的競爭將不僅侷限於性能和精度,能效表現也將成為衡量技術先進性的重要指標。 (晶片行業)
挑戰阿斯麥!俄羅斯公佈EUV光刻機研發計畫!
全球高端晶片製造裝備領域出現新的競爭者。俄羅斯近日發佈了極紫外(EUV)光刻機研發路線圖,計畫在未來十餘年內逐步實現從深紫外(DUV)到極紫外光刻技術的跨越,最終在2037年前完成國產EUV光刻系統的開發。根據披露的技術規劃,俄羅斯科研機構將採取分階段實施策略:首先致力於提升現有DUV光刻裝置的性能,隨後開展EUV光源、光學系統和精密控制等核心模組的攻關,最後進行整機整合與工藝驗證。該路線圖顯示,俄羅斯計畫在2030年前完成關鍵技術儲備,2037年前實現工程化應用。行業分析指出,EUV光刻作為7奈米及以下製程晶片製造的關鍵裝置,目前全球僅有少數企業掌握其核心技術。俄羅斯此舉意在建構自主可控的半導體製造能力,但面臨人才、技術和供應鏈等多重挑戰。特別是在精密光學、真空系統和控制軟體等關鍵領域,需要突破諸多技術瓶頸。光刻機研發具有技術密集、資金密集的特點。一台先進的EUV光刻機包含超過10萬個零部件,涉及物理、化學、機械、電子等多個學科的最高水平技術整合。業內人士表示,即使具備明確的研發路線圖,實際推進過程中仍將面臨諸多不確定性。當前全球半導體裝置市場格局正在發生深刻變化。在主要經濟體紛紛加大晶片製造本土化投入的背景下,光刻技術成為戰略競爭的焦點。俄羅斯加入EUV研發行列,反映出各國對晶片產業鏈自主可控的重視程度不斷提升。專家認為,光刻技術的多元化發展有利於全球半導體產業生態建設,但新興參與者需要克服顯著的技術壁壘。未來十年,隨著新材料、新工藝的不斷突破,光刻技術路線可能出現更多創新方向,為後發者提供新的機遇。 (晶片行業)
SK海力士狂買EUV
增購20 台 EUV 裝置,強化下一代儲存製造SK 海力士正大規模引進極紫外(EUV)光刻裝置,計畫 2027 年前增購約 20 台,將現有約 20 台(含研發用)的規模擴大一倍,總保有量有望躋身全球前三、與英特爾相當甚至超越。EUV 光刻機是超微細半導體電路核心裝備,僅荷蘭 ASML 能生產,每台售價 3000 億 - 5000 億韓元,此次投資規模預計至少 6 兆韓元。新增裝置將落地清州 M15X 工廠(今年底投產,率先配置)和利川 M16 工廠(按工藝轉換路線圖引入),公司還在與 ASML 協商加快交付,考慮提前啟動新 EUV 生產線。此舉旨在強化下一代 DRAM 和 HBM 製造能力:EUV 可刻畫 10 奈米級電路,提升單位晶圓晶片產出及產品能效性能,將優先支撐年底量產的 HBM4 用 10 奈米級第 5 代 DRAM(1b)、待量產的第 6 代 DRAM(1c),未來第 7 代 DRAM(1d)及更先進 10 奈米級以下產品也將匯入該工藝,1d DRAM 最快明年啟動量產轉移。同時,這一投資將帶動 EUV 專用光刻材料與部件需求增長,SK 海力士已與 JSR、杜邦(光刻膠)、默克、YCChem(清洗液)等供應商協商擴大供應。對於該計畫,SK 海力士表示 “具體細節暫不便確認”。SK 海力士舉行新一代半導體光刻裝置 “高數值孔徑極紫外光刻機(High NA EUV)” 搬入紀念儀式9 月 3 日,SK 海力士舉行了新一代半導體光刻裝置 “高數值孔徑極紫外光刻機(High NA EUV)” 的搬入紀念儀式。(從左數第五位起分別為:ASML 韓國代表理事社長金炳燦、SK 海力士採購擔當副社長金成漢、SK 海力士未來技術研究院院長副社長車善容(音譯)、SK 海力士製造技術擔當副社長李炳基) (芯榜+)
極限突破!繞開EUV光刻機,中國國產奈米壓印光刻機正式交付,超越日本佳能!1
光刻機,雖然國產EUV光刻機依然撲朔迷離,但國產奈米壓印光刻機率先破土而出,取得重大突破。根據璞璘科技(PRINANO)8月5日官宣:其自主設計研發的首台PL-SR系列噴墨步進式奈米壓印裝置順利通過驗收並交付至國內特色工藝客戶。據瞭解,璞璘科技PL-SR系列噴墨步進式奈米壓印裝置攻克了步進硬板的非真空完全貼合、噴膠與薄膠壓印、壓印膠殘餘層控制等關鍵技術難題,可對應線寬<10nm 的奈米壓印光刻工藝。相比去年佳能推出的FPA-1200NZ2C奈米壓印光刻機,可以通過奈米壓印技術實現14nm線寬,而PL-SR系列光刻機可以支援線寬<10nm;根據佳能官方說法,其FPA-1200NZ2C奈米壓印光刻機可生產5nm製程晶片;那PL-SR是否可以製造5nm以下晶片呢?從PL-SR系列光刻機核心指標來看,實現平均殘餘層厚度<10nm、殘餘層變化<2nm、壓印結構深寬比>7:1,確實是可以滿足高端晶片製造需求的;何況在獨特拼接技術的加持下,其最小支援20mm×20mm範本均勻拼接,可擴展至12英吋晶圓級壓印。但奈米壓印技術也有先天的缺陷,那就是其晶片製造速度相比ASML光刻機要慢,而且不適合用於複雜的邏輯製程晶片的製造。這主要是由於邏輯製程晶片內部的圖形結構複雜,擁有數十層不同的電路結構,這也就意味著每壓印一層圖形,就需要更換一個壓印頭,這就要求非常多的奈米壓印頭對應不同晶片內部層級的圖案化;如此不僅造成工序更加複雜,還將極大地降低製造效率,同時會帶來成本的大幅上升。相反,因為3D NAND快閃記憶體之類的儲存晶片圖形更為簡單,而且其多層同構特性(多層幾乎相同的層的堆疊),所以也就更適用奈米壓印的技術製程。何況奈米壓印比EUV光刻機更具成本和能效優勢,其耗電量可壓低至EUV技術的10%,並讓裝置投資降低至僅有EUV裝置的40%。因此,奈米壓印技術在NAND快閃記憶體類儲存晶片上的應用具有巨大的優勢,當前國產PL-SR已通過儲存晶片驗證,這意味著國產儲存晶片廠商有望通過國產奈米壓印裝置提升製程工藝,推動國產DRAM/NAND突破10nm製程瓶頸,同時打破西方對於中國高端儲存製造裝置的封鎖,與SK海力士、三星等儲存大廠更好地競爭。值得注意的是,隨著“摩爾定律”受到多重挑戰,奈米壓印憑藉物理壓印原理,理論上可突破3nm圖形尺寸限制,且不受光學衍射影響,為下一代晶片製造提供替代路徑。而國產奈米壓印產業,在璞璘科技的引領之下,已形成裝置、材料、工藝全閉環解決方案,從而推動了國產半導體裝置在奈米壓印裝置從"跟跑"轉向"領跑"。因此,中國首台奈米壓印光刻機的正式交付,標誌著中國在高端半導體裝備領域實現重大技術突破,不僅成功打破了日本佳能的長期壟斷;更是全球技術路線競爭的關鍵轉折,為國產儲存晶片開闢了“繞開EUV”的新製造路徑,未來能否通過產學研協同的不懈努力攻克奈米對準等難題,將決定其能否從“替代選項”升級為“主流方案”。 (飆叔科技洞察)
光刻機的Deepseek時代又到來了🫡