#光刻機
外媒溯源“中國沒有EUV”!
“中國已秘密獲得EUV光刻機”這一在網路上流傳近十年的傳言,昨日被科技媒體TechSpot的系統性調查正式證偽。報導通過比對荷蘭海關、ASML年報、全球半導體裝置追蹤庫SEMI TRAC以及中國生態環境部輻射安全許可證資料,得出一致結論:截至目前,中國大陸境內沒有任何一台可量產的極紫外(EUV)光刻機,所有18台ASML登記在冊的“中國交付”裝置均為深紫外(DUV)型號,最高支援7nm工藝節點。謠言源頭可追溯至2014年一張模糊社交平台照片——據稱拍攝於上海臨港某倉庫,外界猜測箱內為NXE:3300B EUV。TechSpot記者調取當年荷蘭鹿特丹港出口編碼,發現該批次海關單號對應的是“198-0.33 NA DUV Scanner”,即浸沒式DUV,並非EUV;同時,照片EXIF資訊顯示拍攝地點實為ASML新加坡維修中心,與“上海倉庫”說法不符。ASML 2023年財報顯示,公司累計出貨218台EUV,分佈為台積電156台、三星34台、英特爾28台,剩餘“0”台流向中國大陸。荷蘭經濟部出口管制清單也披露,2019—2024年間針對中國的57份光刻機出口許可中,沒有一份涉及13.5 nm波長系統;所有獲批型號最高為Twinscan NXT:2050i,套刻精度<2 nm,但光學解析度止步於7nm。TechSpot進一步獲得中國生態環境部輻射安全許可證資料庫記錄,顯示全國現持“Ⅰ類射線裝置”許可證的晶片製造企業共持有ASML裝置64台,其中18台標註為“極紫外”字樣的申請最終被退回或改為DUV,原因皆為“缺少荷蘭政府EUV出口批文”。這意味著,即便企業想進口,也無法跨越歐盟與美國的雙重管制。對於網路流傳的“二手EUV借道第三國”說法,報導亦予以駁斥。全球海關資料平台Panjiva顯示,2018—2023年所有標註“EUV”字樣的貨物轉運記錄最終收貨地均為美國、韓國或台灣,沒有中轉港發往中國大陸;且EUV整機需專用低振動恆溫運輸船,船期、港口及保險資訊均可在海事局公開查詢,目前未發現異常航線。國內晶圓廠內部人士對記者表示,公司確實曾在2018年評估過“黑市EUV”可行性,但備件、軟體更新及現場服務無法繞過ASML遠端鎖機,“花數億美元買一台不能開機的大鐵櫃毫無意義”。目前,國產7nm產線仍依賴DUV多重曝光,5nm及以下節點尚未進入量產。TechSpot指出,謠言持續發酵的背後,是外界對中國半導體技術跳躍式發展的擔憂,以及“技術神秘主義”情緒。事實上,中國尚未攻克EUV光源、真空腔、超精密反射鏡等核心子系統,短期內無法自造同類裝置。報導最後強調,EUV仍是全球管制最嚴的單一裝置,任何一台出貨、運輸、安裝都有跡可循,“只要數字對不上,神話就永遠只是神話”。隨著美國2024年10月新增“Foreign Direct Product”規則,未來即便非荷蘭企業試圖轉讓EUV相關技術,也需美國許可。對中國大陸而言,7nm節點天花板在短期內難以突破,國產替代與DUV工藝最佳化仍是最現實的路徑。 (晶片行業)
英特爾Fab 52揭秘:已安裝4台EUV光刻機,規劃月產能4萬片
12月23日消息,隨著美國大力發展本土晶片製造業,英特爾、台積電、三星都在積極擴大在美國的產能。其中,作為“主場作戰”的英特爾,其目前在美國本土所擁有的產能無疑是最多的。特別是英特爾位於亞利桑那州錢德勒的Fab 52 晶圓廠,無論是在製程節點的先處理程序度、技術複雜度,還是規劃產能上,都已顯著超越台積電目前在亞利桑那州的佈局。據Tom′s Hardware 援引CNBC報導,英特爾Fab 52 是一座專為未來而生的頂級晶圓廠,其核心使命是生產Intel 18A及更先進製程。為了達成這一目標,英特爾匯入兩大革命性技術:RibbonFET 全環繞閘極(GAA)電晶體:這是英特爾在電晶體架構上的重大升級,目的在提升性能並降低功耗。PowerVia 背面供電網路:通過將供電線路移至晶圓背面,解決了傳統正面供電導致的布線擁擠與壓降問題。Intel 18A 的複雜度與精細度,遠遠超過台積電亞利桑那州Fab 21 第一期N4 或 N5 製程。即使與台積電 N4P 或 第二期工程的 N3 製程相比,Intel 18A 規格依然更具領先性。已安裝4台ASML EUV光刻機,未來整個園區將擴增至15台以上對於一座先進製程晶圓廠的實力來說,往往取決於極紫外光(EUV)光刻裝置。 英特爾Fab 52 安裝了四台ASML Twinscan NXE 標準數值孔徑EUV 系統。其中至少包括一台NXE:3800E,這是ASML 目前最先進標準數值孔徑的EUV 系統。△英特爾 Fab 52 晶圓廠內的ASML EUV光刻機據瞭解,NXE:3800E 包括更快的晶圓傳輸系統、更高效的晶圓台以及更強大的光源。在30mJ/cm² 的曝光劑量下,NXE:3800E 每小時可處理高達220 片晶圓。相較之下,廠內另外三台NXE:3600D 系統在同樣曝光劑量下的產能僅為每小時160 片。英特爾計畫在亞利桑那州的Silicon Desert 園區總共部署至少15 台EUV 光刻裝置。雖然目前尚不清楚其中有多少比例會是新一代的High-NA EUV裝置,也不清楚會有多少會被分配到即將建設的Fab 62。但至少15 台EUV光刻裝置這個數字,表示英特爾擁有極大的空間來進一步擴充其產能上限。月產能4萬片生產規模方面,英特爾Fab 52 擁有強大的產能。滿負載運轉時,產能可達每周10,000 片晶圓的,換算後約為每月40,000 片晶圓。以當今產業標準來看,這是一座規模極其龐大的超大型晶圓廠。相比之下,台積電亞利桑那州Fab 21晶圓廠已經量產的一期工程只有每月20,000片晶圓的產能,因為台積電通常以約每月20,000 片為一個生產產線。這代表著,英特爾Fab 52 的單廠產能,相當於台積電Fab 21 第一期與第二期兩個產線的產能總和。產能利用率及良率挑戰儘管技術與裝置處於領先地位,但英特爾與台積電在美國的佈局策略存在顯著差異,這也帶來了不同的營運挑戰。對於英特爾來說,其高風險高回報的模式正利用Fab 52 生產Panther Lake和Clearwater Forest處理器。目前的Intel 18A 技術仍處於良率曲線的早期階段。英特爾預計要到2027 年初,Intel 18A 的良率才能達到最高水準。在此之前,英特爾可能會刻意控制CPU 的產量,這代表著Fab 52 的產能利用率在短期內將維持在較低水平,部分時間可能會處於閒置狀態。△由英特爾Fab 52製造的基於Intel 18A製程的Clearwater Forest至於台積電,通過穩紮穩打模式在美國採用的是已經過驗證的較成熟製程(如N5/N4)。這種策略使其能夠快速提升產量,並讓工廠的產能利用率迅速接近100%。因此,兩這兩種不同的佈局,顯示出英特爾在亞利桑那州扮演的是技術開拓者的角色,試圖在美國本土直接建立最尖端的技術標竿。而台積電則傾向於將已經成熟的產線轉移至美國,以確保商業運行的穩定與效率。總結來說,英特爾在亞利桑那州的Fab 52 代表了美國本土製造的最先進佈局。它擁有更先進的Intel 18A 製程、更強大的EUV 裝置群,以及兩倍於台積電一期項目的產能潛力。雖然在2027 年良率成熟之前,英特爾在產能利用率上可能無法與台積電匹敵,但Fab 52 的存在確實鞏固了英特爾做為美國晶片之王的地位。這場對決最終的勝負,將取決於英特爾能否在2027 年如期達成Intel 14A 製程獲得頭部的外部客戶的訂單。 (芯智訊)
28億一台,全球首台High-NA EUV光刻機落地:0.7nm套刻精度開啟晶片新紀元
如果說過去十年,半導體產業的主旋律是“誰先跨過5nm、3nm”,那麼從2025年起,真正的勝負手已經悄然轉移——不再是製程數字的微小變化,而是能否掌握下一代光刻技術的話語權。就在近日,一個足以震動整個行業的消息正式確認:全球首台商用High-NA EUV(高數值孔徑極紫外)光刻機——ASML Twinscan EXE:5200B,已在英特爾位於美國亞利桑那州的先進晶圓廠完成安裝並通過驗收。這台裝置的標價令人咋舌:單台售價超過3.8億美元,折合人民幣近28億元。它不僅刷新了半導體裝置的價格紀錄,更標誌著人類向1nm以下工藝邁出了決定性一步。光刻,才是未來十年的真正戰場過去幾年,業界普遍依賴的是Low-NA EUV光刻技術,其數值孔徑(NA)為0.33。在這一架構下,理論極限解析度約為13nm,若要繼續縮小電晶體尺寸,只能通過多重曝光(Multi-Patterning)等複雜工藝“曲線救國”。但代價巨大:掩模數量翻倍、良率波動加劇、製造周期拉長、成本指數級上升。而High-NA EUV的出現,本質上是一次“規則重寫”。EXE:5200B將NA值提升至0.55,直接將單次曝光的最小可分辨特徵縮小至8nm,更重要的是——套刻精度達到驚人的0.7nm。這裡必須強調:套刻精度,比解析度更難攻克。解析度決定“能不能畫出細線”,而套刻精度決定“幾十層電路能否精準對齊”。在1nm時代,原子間距不過0.2~0.3nm,0.7nm的對準誤差意味著僅容許兩三個原子的偏差。晶圓在加工過程中的微小熱脹冷縮、光刻膠的奈米級形變、甚至地基的微振動,都可能讓整片晶圓報廢。為什麼是英特爾?因為已無退路令人意外的是,率先部署這台“工業奇蹟”的,並非長期領跑先進製程的台積電,也不是激進押注GAA電晶體的三星,而是近年來屢遭質疑的英特爾。答案其實很現實:英特爾已經沒有選擇。其規劃中的Intel 14A節點(相當於1.4nm)被內部視為“背水一戰”的關鍵轉折點。該節點將首次全面採用High-NA EUV,用於關鍵金屬層和通孔層的單次曝光,徹底擺脫對多重圖案化的依賴。這意味著設計規則更簡潔、掩模數量減少30%以上、生產周期縮短、良率更可控。對英特爾而言,這不是一次技術嘗鮮,而是一場關乎未來十年生死存亡的豪賭。EXE:5200B的到位,等於正式亮出了底牌——要麼靠技術翻身,要麼在先進製程競賽中徹底掉隊。28億買的不是機器,是一整座“奈米級宇宙”別被“光刻機”三個字誤導。EXE:5200B早已超越傳統裝置的範疇。它整合了:新一代高功率EUV光源:輸出功率突破600W,確保足夠曝光通量;全新光學系統:採用多層反射鏡與主動校正機制,抑制像差;超穩晶圓平台:奈米級運動控制,配合即時雷射干涉儀反饋;全封閉溫控與隔振環境:溫度波動控制在±0.01°C以內,隔絕外部振動;AI驅動的感測器網路:每秒採集數萬資料點,動態補償漂移。可以說,這台裝置本身就是一個微型“精密物理實驗室”,每一秒都在與量子效應、熱力學噪聲和材料極限對抗。貴嗎?貴。但失敗更貴28億人民幣一台,聽起來荒謬。但在1nm以下時代,真正昂貴的不是裝置本身,而是試錯成本。一次流片失敗,損失動輒上億美元;一次良率爬坡延遲,可能讓整個產品線錯過市場窗口。英特爾的邏輯很清晰:短期看,High-NA EUV投入巨大;長期看,它能重建先進製程的經濟可行性。當別人還在用“拼圖式”多重曝光艱難前行時,英特爾希望用更少的步驟、更高的確定性,跑出一條新賽道。這不是終點,而是新戰爭的起點需要清醒認識到:EXE:5200B的成功部署,並不等於英特爾就此反超。台積電已預訂多台後續型號EXE:5400,計畫用於A14(1.4nm)及更先進節點;三星也在加速佈局High-NA生態。ASML預計到2027年,High-NA EUV產能仍將極度稀缺,每年僅能交付個位數裝置。這意味著,圍繞這台28億機器的爭奪,才剛剛開始。它不僅是技術里程碑,更是地緣科技博弈的新焦點——誰能優先獲得裝置、誰能在工藝整合上領先、誰就能定義下一個十年的晶片規則。先進製程的天花板,尚未封頂。但通往1nm以下的道路,註定只有極少數玩家能走完。而第一塊路標,就刻在這台價值28億的光刻機上。 (晶片研究室)
美媒:中國正在建設EUV光刻原型機,但距離真正量產晶片還需至少10年時間
01. 前沿導讀據美國科技媒體Tom‘s HardWare報導稱,中國正在建設EUV光刻系統的原型機,預計2030左右製造原型晶片。該裝置有前ASML員工的參與,不過目前尚不清楚中國裝置的進展細節。ASML曾經在一份聲明中寫道,有企業想要複製我們的技術是合情合理的,但想要做到這一點絕非易事。中國的產業技術正在加速發展,但目前只是進入到了原型機的環節,距離中國企業採用國產EUV裝置量產晶片仍需要至少10年時間。參考資料:China may have reverse engineered EUV lithography tool in covert lab, report claimshttps://www.tomshardware.com/tech-industry/semiconductors/china-may-have-reverse-engineered-euv-lithography-tool-in-covert-lab-report-claims-employees-given-fake-ids-to-avoid-secret-project-being-detected-prototypes-expected-in-2028#xenforo-comments-389061402. 國產EUV據技術報告指出,中國的原型機採用了與ASML NXT裝置相同的雷射誘導電漿體技術(LPP),具備產生極紫外雷射的能力,但並未實現通過該技術製造晶片的目標。雷射發射器需要與反射鏡組、工作台、真空室相結合,涉及到大量的精密光學系統,這都是中國企業需要解決的問題。德國蔡司為ASML的EUV光刻機提供了整套光學成像裝置,其中包括了塗覆多層鉬矽堆疊的超精密集光鏡系統、用於均勻化光束的多鏡片照明光學元件、用於實現4倍至8倍縮小成像且波前誤差低於奈米級的投影光學元件。ASML聯合美國西盟公司,解決了光源以及雷射發射器的問題。發射器將極紫外光發射出去,然後極紫外光經過蔡司的一整套成像裝置之後,便可以將掩範本上的圖案印刷到晶圓上面。從裝置技術上來說,光源發射器是EUV光刻的第一步,只是解決了光源的問題,想要將光源應用到晶片製造,必須要有類似於蔡司的這一套光學成像裝置。如果沒有成像裝置,那麼只是掌握了最基礎的光源,無法正常驅動光刻機。EUV裝置包含了超10萬個零部件,覆蓋了全球超5000家供應商,並且還需要成百上千的專業工程師對其進行偵錯維護。光刻機產業代表了整個生態系統,需要進行全產業鏈的協同發展。前ASML研究部研究員林楠,曾經在2021年回國加入了上海光機所,僅用了18個月的時間,便申請了8項與EUV相關的技術專利。2025年10月,林楠離開上海光機所,來到北京航空航天大學擔任積體電路科學與工程學院教授。國際媒體對此分析稱,林楠更多的是運用自己的經驗和知識,幫助中國團隊在光刻機領域提升基礎技術。他並沒有複製自己在ASML的技術方法,而是通過經驗幫助中國團隊重新開發技術並申請專利,在自主智慧財產權上面提前進行佈局。03. 技術追趕根據ASML官方資料顯示,ASML第一台可以製造晶片的EUV光刻機發佈於2006年,支援28nm線寬和間距的晶片製造,交付給了美國奧爾巴尼的奈米科學與工程學院和比利時魯汶的微電子中心。該裝置屬於演示裝置,可以製造晶片,但無法大規模量產。2010年,ASML新一代的NXE:3100光刻系統進行交付。2013年,可量產的EUV光刻機NXE:3300開始交付。2017年,可以大規模製造7nm、5nm晶片的NXE:3400B開始量產交付。從可驗證的原型裝置到完全大規模商用的EUV光刻機,ASML經過了11年的時間。這11年裡面主要就是解決兩個問題,列印電晶體線寬的精度、規模化量產的能力,這兩點同時也是中國自主裝置需要解決的問題。成像系統、儲存系統、晶圓台、光罩載台等裝置對於光刻機的運行和良品率的高低有直接關係,這些技術裝置均需要特定企業單獨進行研發,從而在最後階段拼裝成一個完全的裝置投入使用。並且光刻機的製造對於環境條件要求也很高,根據ASML的官方資料顯示,ASML的光刻機潔淨室遵循ISO 1標準,每立方米空氣中僅允許10個直徑僅為十分之一微米的顆粒,且不允許任何大於該尺寸的顆粒存在。在晶片製造中,一粒微小的灰塵都可以造成災難性的經濟損失。如果有灰塵落在晶片的圖案上,那麼光線穿過之後會反射到矽片上面,從而出現斑點。一旦有灰塵斑點,那麼整塊晶圓將會直接廢棄。先進的EUV光刻機屬於工業裝置,工業裝置需要運行起來製造產品,從而創造商業化的經濟價值。如果裝置無法進入到商業化領域,那麼其只能留存在實驗室裡面當做驗證技術的原型裝置,這完全有悖於裝置的實用價值。目前來看,進展順利的情況下2030年實現的願景將會是原型機的初步產品製造,距離大規模的商業化進展還需要多年的裝置最佳化。 (逍遙漠)
中國媒體炮轟路透社:惡意拼湊資訊來捧殺中國光刻機,暗示中國裝置採用違規方法獲取技術
01前沿導讀路透社在12月17日刊登了一篇專欄報告,該報告指出中國科學家已經在深圳的實驗室內完成了EUV光刻機的原型機製造。並且該原型機由前ASML工程師參與其中,通過逆向工程的方法完成了EUV的整機製造,預計2030年有望實現用國產EUV製造原型晶片。該內容在國際層面引起了巨大轟動,同時也引起了中國媒體的聲討。上海觀察者網認為,該報告動用了西方媒體慣用的伎倆:內容並非完全虛假,但也不完全真實。西方媒體的手段是將一些資訊拼湊性的連接在一起,從而主動引導你得出相關結論。所以,我們需要對路透社的專欄報告提起高度警覺,其警覺的地方並不在於該媒體說了什麼,而是在於該媒體是怎麼說的。02資訊拼湊我們提取一些關鍵詞:中國國產EUV光刻機、前ASML工程師、逆向工程,然後我們對這些關鍵詞進行分析。前ASML工程師這個資訊是真實發生的事情,該工程師名叫林楠,2015年至2021年在荷蘭ASML研究部擔任研發科學家。2021年至2025年9月,林楠在上海光機所擔任雷射科學與技術實驗室副主任。10月份,林楠離開上海光機所,在北京航空航天大學擔任積體電路科學與工程學院教授。林楠博士曾經與荷蘭半導體觀察者、《新鹿特丹商報》記者馬克·海金克一起接受了觀察者網旗下心智對話欄目的專訪。在訪談當中,主持人對二人發起提問:其他企業是否可以效仿ASML的成功經驗,複製出一套全新的光刻機體系?馬克·海金克對此表示即便你瞭解了光刻原理,並且擁有了製造圖紙、製造材料、所需零部件,你也很難將其製造出來,況且也沒有圖紙給你看。你必須要保證每個零部件之間相互有效的整合運行,這涉及到大量的工程問題和技術經驗。我們並不是說複製ASML光刻機這條路徑是完全不可能的,但你想要製造出水平不錯並且可以商用的裝置,需要花費很長時間。林楠博士也進行分析稱,對於技術人員來說,如果有選擇的情況下,技術人員不願意再做重複的事情,但問題是在於你有沒有能選擇的條件。單純的技術複製並不是什麼挑戰,很多時候是在浪費工程師的時間。前人已經把很多事情都做了,你重複去做是沒有意義的。如果你想做,那就應該用不同的方式去做。林楠博士的態度很明白,雖然跟隨國外的技術方向發展技術是一條清晰的路徑,但這種方法終歸是別人主導的。想要實現真正的技術跨越,必須要從基礎開始一點點積累經驗,持續深入研究相關的產業技術,只有自己搞懂的整個流程,才能最大限度實現光刻機的自主可控。林楠博士的說法,與路透社發佈的專欄報告存在明顯出入。下面我們來談談逆向工程的問題。03逆向工程在半導體產業的發展史上,確實發生過對光刻機進行逆向工程,然後製造出另一台光刻機的例子,不過這個事情建立在特定的歷史條件下。時間回溯到20世紀80年代,彼時的光刻機霸主是美國的GCA公司(地球物理公司),其次是美國的珀金埃爾默、日本的尼康、佳能再加上荷蘭的ASML。此刻的ASML並沒有太大的名氣,也沒有什麼拿得出手的產品,光刻機的產業競爭變成了美日兩國企業的競爭。日本通產省牽頭,推出了超大規模積體電路計畫(VLSI)。尼康、佳能、東芝、電氣株式會社(NEC)均是該計畫的扶持企業。由於尼康本身就是傳統光學技術起家,所以直接承擔了日本光刻機的研發項目。日本NEC是美國GCA公司的客戶之一,GCA的服務經理肯·皮恩在這個時期接到了日本NEC的求助,NEC購買的光刻機出現當機的情況,要求皮恩進行技術分析。皮恩帶領工程師來到了日本NEC公司,在進行技術修復的過程中,皮恩發現光刻機存在被私拆的痕跡。皮恩私下調查發現,NEC公司允許尼康對購買來的美國光刻機進行拆解,但是尼康對其拆解之後重新組裝的過程中出現問題,導致光刻機當機,這才求助於美國GCA。1981年,尼康發佈了NSR-1010G步進式光刻機。經客戶反饋,該光刻機與美國GCA的光刻機在架構、晶圓台、對準系統等多個技術領域一模一樣,整個產業都將尼康的NSR-1010G光刻機認定為美國光刻機的複製品,但是美國GCA管理層對此卻毫不在意。甚至有美國客戶將尼康抄襲美國技術的檔案傳送給GCA,GCA也對此嗤之以鼻,並表示日本企業就算抄襲了美國技術,那麼他們也只能學到皮毛,製造出來的產品根本比不過美國。尼康能賣出貨去,完全是因為日本政府要求企業支援國產裝置,所以尼康的光刻機被內部消化了。但是隨著技術的發展,日本企業佔據上風,ASML也憑藉著PAS系列光刻機打開市場,美國的光刻機產業開始走下坡路。珀金埃爾默被財團收購放棄光刻機業務,GCA則是被矽谷集團收購。2001年,ASML全資收購了美國矽谷集團,將美國光刻機產業納入麾下。逆向工程對於早期的簡單裝置來說是可行的方案,但是隨著產業發展,EUV光刻機進入高精度和自動化,其整機零件達到了幾十萬個,牽扯到全球5000多家頂級供應鏈,涉及到的軟體體系超過億行程式碼。最為重要的,還是來自於ASML的技術專利。ASML與蔡司統治了EUV光刻機超1500項以上的技術專利,這些專利成為了後來者難以踰越的城牆。並且EUV光刻機覆蓋了光學、化學、電學、機械、材料、控制、軟體等多個基礎工程,機器製造完成只是個開始,其真正的難點是將裝置市場化,然後保證穩定性和高效率,從而源源不斷地製造晶片進行盈利。由此可見,路透社的內容在關鍵資訊上面大部分是真實的,但是將這些內容整合到一起,就形成了一種較為空心化的結論,存在過度解讀的情況。對於一些經常關注中國晶片產業的觀眾來說,路透社的內容好像很有道理,但是對於整個中國晶片產業來說,路透社的內容又存在多種不合理的說法。尤其是路透社將逆向EUV這種方法強加給中國團隊,暗示中國團隊採用該技術製造國產光刻機,讓中國企業陷入一種被動的輿論境地。 (逍遙漠)
英特爾已安裝全球最先進光刻機!
當地時間12月16日清晨,英特爾宣佈,其已同ASML 實現了全球首套、也是目前最先進的「二代」High NA EUV 光刻機TWINSCAN EXE:5200B 的「驗收測試」。這是業界首台採用0.55數值孔徑投影光學系統的商用晶片生產High-NA EUV微影機。該裝置已通過驗收測試,並將用於Intel 14A(1.4nm)製程的開發。相較主要用於製程前期研發的「一代」機型EXE:5000,EXE:5200B 身上的「量產用裝置」味道更濃:其配備了更高功率/ 劑量的EUV 光源,晶圓吞吐量提升到每小時175 塊;套刻精度提升至0.7nm;此外通過新的晶圓儲存結構提升了整體精度的穩定性。英特爾代工也在同一篇部落格中提到,在2025 IEEE IEDM 上,其與imec 合作展示了對2DFET 材料氧化物帽層的選擇性凹陷刻蝕以及在12 英吋試生產線中製造的具有大馬士革型頂接觸的電晶體。英特爾強調,公司也在展望更遠的未來。現今最先進的電晶體採用環繞閘極架構(英特爾晶圓代工稱之為RibbonFET),將電晶體完全包裹在通道周圍。這些電晶體以單層形式整合在晶片上,我們也在努力實現電晶體的堆疊。英特爾和業界其他公司都認為,在未來的某個時刻,電晶體的尺寸將會縮小到矽原子性能開始下降的程度。接下來看量產速度。英特爾官方給KPI:2025年Q2試產,Q4進HVM,目標把每小時175片提到200片,單片缺陷密度降到0.1/cm²。換算成月產能,一座廠每月可產6萬片14A晶圓,足夠做1200萬顆筆記本CPU,等同於全球一個季度的遊戲本需求。 ASML透露,2024年只能交付6台5200B,英特爾搶下首台,剩下5台台積電、三星各瞄3台,誰先調好工藝,誰就能把1.4nm的「時間閘門」握在自己手裡。 (半導體產業圈)
超兆!晶片裝置市場將破紀錄!
國際半導體產業協會(SEMI)與TechInsights聯合發佈的最新《全球晶圓廠裝置支出報告》顯示,受3D NAND層數競賽、DRAM製程升級及先進邏輯產能本土化驅動,2027年全球晶片製造裝置銷售額將首次突破1560億美元,較2024年大增42%;其中中國大陸、台灣和韓國合計拿下72%的市場份額,成為拉動裝置需求的三駕馬車。報告指出,2025—2027年間,全球將新增300mm晶圓產線合計超過110條,年復合投片增速達9.8%。先進邏輯方面,台積電、三星、英特爾三家將相繼匯入High-NA EUV光刻機,單台售價約3.8億美元,預計貢獻220億美元增量;3D NAND陣營為突破400層堆疊,長江儲存、三星西安、SK海力士大連將大量採購高深寬比蝕刻與原子層沉積裝置,相關細分市場年復合增速高達18%。中國大陸繼續扮演“最豪買家”。SEMI資料顯示,2027年中國大陸裝置支出將達490億美元,佔全球31%,連續五年蟬聯第一大市場。增量主要來自中芯國際、長鑫儲存、長江儲存的產能爬坡,以及粵芯、芯粵、上海臨港等新主體擴產。值得注意的是,在美國出口管制持續收緊背景下,中國廠商加快對成熟製程與特色工藝的“補缺口”式投資——45nm至28nm節點的DUV浸沒式光刻機2026年交付量將同比提升60%,ASML、尼康、佳能三家合計向中國客戶交付180台。台灣方面,台積電2nm及A16(1.6nm)新竹寶山、高雄、台中三大基地同步建設,2027年裝置支出預計430億美元,佔全球28%,其中EUV相關投資就達140億美元。供應鏈透露,台積電已鎖定ASML 2026全年120台0.33 NA EUV與首批6台0.55 NA High-NA產能,用於2027年試產1.4nm原型。韓國則憑藉三星、SK海力士的DRAM與3D NAND擴產,2027年裝置支出將達310億美元。三星平澤P4、P5兩期工廠將匯入新一代GAA電晶體與400層V-NAND工藝,單廠年裝置預算超80億美元;SK海力士則在龍仁未來產業叢集新建M15X DRAM產線,全面採用EUV光刻+High-k金屬柵,目標2027年實現1cnm(約10nm級)DRAM量產。分裝置類型看,2027年蝕刻、薄膜、光刻三大核心裝置銷售額將分別達280億、260億、250億美元,合計佔市場半壁江山。其中,原子層蝕刻(ALE)與電漿體增強ALD年復合增速超20%,成為3D NAND與GAA電晶體的關鍵瓶頸。國內裝置廠商亦加速“補位”:北方華創、中微公司、盛美半導體2026年本土市佔率有望由2024年的15%提升至25%,但EUV、High-NA光刻機與超高深寬比蝕刻仍依賴進口。SEMI全球總裁Ajit Manocha指出,1560億美元只是“保守數字”,若美國、歐洲、日本進一步加碼本土化激勵,裝置支出峰值可能提前至2026年出現。對於高度分工的全球半導體供應鏈而言,裝置需求爆發意味著“製造再全球化”正式開局,誰能在EUV、High-NA、3D整合與先進封裝四大戰場搶到產能,誰就將在下一個十年掌握晶片話語權。 (晶片行業)
東方極光:中國光刻機產業鏈深度調研與投資全景報告(2025)
1. 從防禦到反攻的產業轉折點1.1 報告背景與調研綜述2025年的全球半導體格局正經歷著自冷戰以來最深刻的結構性斷裂。隨著美國針對中國半導體產業的圍堵進入“深水區”,特別是針對AI晶片及核心製造裝置——光刻機的出口管制全面收緊,中國半導體產業的發展邏輯已徹底改變。路透社及多方情報源披露,中國正在實施一項代號為國家級工程。這一行動不僅是對外部壓力的應激反應,更是中國試圖重構全球半導體供應鏈權力的戰略總攻。本報告作為一份行業投資顧問的深度調研成果,旨在穿透地緣政治的迷霧,深入剖析這一宏大戰略背後的產業鏈條。我們不僅關注那些耳熟能詳的龍頭企業,更致力於挖掘那些隱藏在“影子網路”中的隱形冠軍——那些位於上海、深圳、北京及創業板、科創板,正在為中國光刻機實現從0到1突破提供核心支撐的上市企業。1.2 投資邏輯的範式轉移當前,中國光刻機產業鏈的投資邏輯已發生根本性轉變,投資者必須從傳統的財務報表分析轉向對產業生態位的精準研判:從“商業邏輯”轉向“生存邏輯”:過去市場關注的是企業的毛利率和全球市場份額,而現在的核心指標是企業是否進入了“國產替代”的安全名錄(White List)。一旦進入以華為(Huawei)或上海微電子(SMEE)為核心的供應鏈體系,意味著獲得了長期的訂單保障和不受經濟周期波動的政策兜底。“影子軍團”的價值重估:由於顯性的龍頭企業(如中芯國際、華為)受到制裁,大量的裝置採購和技術攻關任務被轉移至未被列入實體清單的關聯企業(如SiCarrier新凱來、鵬芯微PXW等)。投資視線需穿透表層,鎖定這些“影子”企業的上游供應商,這才是當前A股市場中最大的阿爾法來源。大基金三期的精準制導:註冊資本達3440億元的大基金三期已於2024年啟動,其投資策略從前兩期的“廣撒網”轉向了 “精確制導” 。資金流向明確指向了“卡脖子”最嚴重的光刻機核心零部件(光源、物鏡、工件台)及關鍵材料(光刻膠、大矽片)。跟隨國家資本的流向是目前勝率最高的策略。1.3 關鍵結論預覽調研顯示,中國光刻機產業鏈正在形成以上海(SMEE總部)、北京(研發與零部件中心)、深圳(應用與影子製造中心)為核心的三大產業叢集。整機整合端:雖然SMEE未上市,但其核心股東張江高科 (600895.SH) 承載了極高的資產證券化預期與戰略價值。光學與光源端:茂萊光學 (688502.SH)、永新光學 (603297.SH) 與 福晶科技 (002222.SZ) 正在建構替代西方精密光學的中國方案。材料端:南大光電 (300346.SZ) 與 彤程新材 (603650.SH) 在高端ArF光刻膠領域的突破,是打破日本壟斷的關鍵一環。新勢力:以新凱來 (SiCarrier) 為代表的華為系裝置商正在重塑供應鏈,其背後的上市供應商網路值得高度關注。2. 宏觀戰局:地緣政治催生的“Manhattan計畫”2.1 封鎖升級:技術鐵幕的落下自2019年以來,以美國為首的西方國家建構了嚴密的半導體裝置出口管制網。2025年的形勢更為嚴峻,最新的管制措施不僅徹底切斷了EUV(極紫外)光刻機的獲取途徑,甚至連先進的DUV(深紫外)裝置及其零部件、維護服務也被納入禁令範圍。荷蘭ASML公司被迫停止對華部分服務,這直接威脅到了中國存量晶圓廠的正常運轉。根據相關報告,美國及盟友的策略旨在“凍結”中國的技術節點,使其長期停留在成熟製程,無法向7nm及以下先進工藝邁進。這種生存級的威脅,直接催生了中國半導體產業的“舉國體制”反擊。2.2 中國的應對機制:復刻“Manhattan工程”據路透社及多方情報源披露,中國的應對策略被內部人士比作“Manhattan計畫”。這一比喻精準地概括了該行動的三個核心特徵:保密性、資源動員力與單一目標的絕對優先順序。2.2.1 XXXXX調研發現,XXXXX。XXXXXX。XXXXXXXX。2.2.2 華為的“鏈長”角色在這一宏大計畫中,華為 (Huawei) 不再僅僅是一家通訊或手機公司,它已演變為中國半導體產業鏈的 “超級整合者”與“總設計師” 。全產業鏈協調:華為通過旗下的哈勃投資(Hubble Investment)和關聯公司(如SiCarrier),在全國範圍內協調數千名工程師和數百家供應商,打通從材料、設計到製造的每一個環節。技術外溢:華為將自身在通訊領域積累的精密製造、演算法最佳化能力外溢給上游裝置商,幫助國產裝置快速迭代。例如,華為派遣技術團隊駐廠,協助供應商解決良率問題,這種深度繫結是過去商業環境下難以想像的。2.2.3 資金引擎:大基金三期2024年成立的國家積體電路產業投資基金三期(Big Fund III),註冊資本高達3440億元人民幣,遠超前兩期。投資轉向:與一期側重晶圓製造、二期側重多點佈局不同,三期的投資方向極其明確且聚焦——全力攻克光刻機、全環繞柵極(GAA)、 高頻寬記憶體(HBM) 等“卡脖子”最嚴重的環節。長期主義:大基金三期的資金性質決定了它不追求短期財務回報,而是追求產業鏈的安全與自主。這為那些研發周期長、投入巨大的光刻機零部件企業提供了最堅實的後盾。3. 核心陣地:上海微電子(SMEE)與長三角叢集在光刻機整機製造領域,上海微電子裝備(集團)股份有限公司(SMEE) 是中國唯一的希望,也是“Manhattan計畫”中的核心攻堅陣地。雖然SMEE本身尚未在A股直接上市,但圍繞它的資本運作與供應鏈配套,構成了A股光刻機類股最核心的投資主線。3.1 SMEE的戰略地位與技術現狀SMEE成立於2002年,總部位於上海張江。它是中國唯一具備生產投影式光刻機能力的整機廠商,直接對標ASML、Nikon和Canon。3.1.1 產品線與節點突破SSX600系列:這是SMEE目前的主力機型,主要覆蓋90nm、110nm工藝節點。雖然這一製程看似落後,但對於電源管理晶片、模擬晶片、功率器件等大量應用場景而言,已能滿足基本需求,是國產替代的基石。SSX800系列(28nm攻堅):這是全市場最關注的焦點。據行業傳聞及外媒報導,SMEE正在全力攻關代號為SSA800的浸沒式光刻機,旨在實現28nm工藝節點的量產。28nm是晶片製造的“黃金節點”,一旦突破,意味著中國在除最先進製程外的絕大多數領域實現了自主可控。雖然SMEE股東張江高科曾短暫發佈相關進展後撤回,但這恰恰反映了該項目的敏感性與重要性。EUV的探索:專利資訊顯示,SMEE已申請了涉及EUV光源(LPP-EUV)的相關專利,這表明中國並未放棄在下一代極紫外光刻領域的嘗試,儘管距離商業化量產仍有極長的路要走。3.2 核心受益標的:張江高科 (600895.SH)作為SMEE的重要股東(持股比例僅次於上海電氣集團),張江高科在資本市場上被視為SMEE的頭號影子股。投資邏輯:SMEE作為國家級戰略資產,未來無論是獨立IPO還是資產注入,張江高科都將獲得巨大的資產增值。更重要的是,張江高科背後的張江科學城是SMEE及其大量供應商的物理載體,擁有極高的產業聚集度。風險提示:作為園區開發企業,其主營業務仍是房地產和租賃,SMEE的業績貢獻目前佔比極小,股價主要受事件驅動和情緒影響,波動性較大。3.3 衍生力量:AMIES與後道封裝光刻調研發現,SMEE並非單打獨鬥。一家名為AMIES(上海微電子的關聯/衍生公司)的企業正在後道封裝光刻領域嶄露頭角。AMIES的定位:專注於先進封裝光刻機。隨著Chiplet(小晶片)技術的興起,後道封裝的重要性日益凸顯。AMIES展示了包括晶圓鍵合、雷射退火在內的多種裝置,並在國內市場佔據了極高的份額。投資對應:關注AMIES的供應鏈,以及與其有合作關係的A股封測龍頭(如長電科技、通富微電),它們是AMIES裝置的首批使用者和驗證者。4. 隱秘戰線:華為的“影子軍團”與深圳叢集在深圳,一場更為隱秘的佈局正在展開。為了規避制裁,華為通過一系列未上市的關聯企業,建構了一個龐大的 “影子製造網路” 。這些企業往往不直接使用華為品牌,但在資金、人員和技術上與華為深度繫結。4.1 核心裝置平台:新凱來 (SiCarrier)深圳新凱來技術有限公司 (SiCarrier) 是“Manhattan計畫”中湧現出的裝置領域 “新物種”。企業畫像:成立於2021年,主要投資者包括深圳重大產業投資集團等國資背景,與華為關係極其密切。產品全覆蓋:在SEMICON China等展會上,SiCarrier展示了除光刻機外的幾乎全套產線裝置,包括刻蝕機、薄膜沉積裝置(CVD/PVD)、量測裝置等,且均以中國名山(如“泰山”、“珠峰”)命名。光刻機相關的秘密研發:雖然SiCarrier在公開場合刻意低調處理光刻機業務,但有消息稱其正在深圳秘密研發EUV光源及相關核心元件,並大量招募前ASML、Applied Materials的資深工程師。投資機會:SiCarrier作為非上市公司,其巨大的零部件採購需求將溢出到A股市場。投資者應重點挖掘SiCarrier的合格供應商名單,這些企業將直接受益於華為的巨額資本開支。4.2 製造端的“影子工廠”:鵬芯微 (PXW) 與 昇維旭 (SwaySure)華為不再直接建設晶圓廠,而是通過支援初創企業來建立產能。鵬芯微 (PXW):由前華為高管創立,專注於28nm及更先進工藝的晶圓製造。儘管已被列入美國實體清單,但其工廠建設並未停止,是華為晶片製造的重要備份。昇維旭 (SwaySure):專注於儲存晶片(DRAM),同樣是華為生態的重要一環。其技術來源和人才儲備與華為體系高度互通。投資邏輯:這些“影子工廠”是國產裝置(包括SMEE光刻機、新凱來裝置)的首要試驗田和採購方。它們的產能擴張速度直接決定了上游裝置商的業績增速。5. 產業鏈深潛:核心零部件的上市公司機遇光刻機被譽為“工業皇冠上的明珠”,其製造難度在於三大核心子系統:光源(Light Source)、光學鏡頭(Optical Lens)、雙工件台(Twin Scan Workstage)。這三個領域的國產化率最低,技術難度最高,因此在“Manhattan計畫”中獲得的資源傾斜也最大,投資彈性最高。5.1 光源系統:追逐“人造太陽”光源是光刻機的“心臟”,決定了光刻機的解析度和製程節點。技術路徑:從汞燈(G線/I線)到准分子雷射(KrF/ArF),再到雷射電漿體(LPP-EUV)。目前國產替代的重點是193nm的ArF准分子雷射器。核心非上市企業:科益虹源 (RSLaser)。這是中國唯一具備量產ArF准分子雷射器能力的企業,華為哈勃投資是其重要股東。A股核心關聯標的:福晶科技 (002222.SZ):核心邏輯:全球非線性光學晶體(LBO/BBO)的絕對霸主。雷射器要產生深紫外光,必須通過非線性晶體進行倍頻。無論是ASML的光源供應商Cymer,還是國產的科益虹源,都離不開高品質的光學晶體。福晶科技在這一細分領域的市佔率極高,具有極強的議價權。英諾雷射 (301021.SZ):核心邏輯:作為“專精特新”小巨人企業,英諾雷射在深紫外(DUV)雷射器領域有深厚積累。雖然其主營業務是工業微加工,但其雷射器技術平台與光刻光源有底層技術的共通性。調研顯示,其已被列入國家級專精特新名單,有望在光源國產化中承擔更多工。炬光科技 (688167.SH):核心邏輯:提供高功率半導體雷射元器件和光束整形系統。其產品不僅應用於雷射雷達,更是光刻機曝光系統中的關鍵元件。公司已進入ASML供應鏈,證明了其技術實力,在國產替代背景下,其技術將反哺國內光源廠商。5.2 光學系統:挑戰物理極限光刻機鏡頭系統(物鏡)需要極高的加工精度,被形容為“有史以來最精密的光學系統”。核心非上市企業:國望光學(SMEE核心供應商)。A股核心關聯標的:茂萊光學 (688502.SH):核心邏輯:SMEE的核心供應商,提供DUV光刻機曝光光學系統、對準顯微光學系統等關鍵元件。茂萊光學的招股書中明確披露了與SMEE的深度合作關係,是A股中光刻機光學屬性最純正的標的之一。永新光學 (603297.SH):核心邏輯:中國顯微光學的龍頭企業,承接了多項國家高端光學儀器專項。其擁有高數值孔徑(NA)鏡頭的設計與製造能力,這是光刻機鏡頭的核心指標。財報顯示,永新光學的研發投入強度常年保持高位,且已被認定為國家級“製造業單項冠軍”。波長光電 (301421.SZ):核心邏輯:主要產品包括雷射光學鏡頭等。雖然更多應用於雷射加工,但其在精密光學加工領域的積累使其具備切入光刻機外圍光學系統的潛力。奧普光電 (002338.SZ):核心邏輯:背靠長春光機所(中國光學的搖籃)。長春光機所是EUV光刻機光學系統的主要研發單位,擁有國內最強的光學加工和檢測能力。奧普光電作為其唯一的上市平台,具有極強的技術承接能力和資產注入預期。5.3 機械與運控系統:奈米級的舞蹈雙工件台技術決定了光刻機的生產效率(吞吐量)和套刻精度,全球僅ASML和SMEE(通過華卓精科)掌握。核心關注標的:華卓精科 (U-Precision)。企業畫像:清華大學背景,SMEE光刻機雙工件台的獨家供應商。其研發的雙工件台打破了ASML的技術壟斷。上市狀態:華卓精科曾申請科創板上市,雖過程波折,但作為“專精特新”小巨人,其戰略地位無可替代。投資者應密切關注其IPO重啟處理程序,或尋找其參股股東及影子股。技術實力:華卓精科不僅提供工件台,還研發了超精密測控裝備,其研發強度(R&D佔比)極高,是典型的技術驅動型企業。6. 關鍵材料與配套裝置:大基金三期的重點佈局光刻機不是孤立存在的,它需要與塗膠顯影機、光刻膠、掩膜版等配合才能完成光刻工藝。這一領域的國產化同樣是“Manhattan計畫”的重要組成部分。6.1 光刻膠:打破日本壟斷光刻膠是光刻工藝的“耗材”,ArF和EUV光刻膠長期被日本企業(JSR, TOK, Shin-Etsu)壟斷。南大光電 (300346.SZ):核心邏輯:其自主研發的ArF光刻膠已通過客戶驗證並實現少量出貨,是國內走得最快的企業之一。作為國家級專精特新“小巨人”,南大光電在電子特氣和光刻膠兩個領域均有深厚佈局,是大基金重點支援的對象。彤程新材 (603650.SH):核心邏輯:通過控股北京科華和北旭電子,擁有國內最完整的半導體光刻膠產品線(G線、I線、KrF)。調研顯示,北京科華是目前國內光刻膠營收規模最大的企業,其KrF光刻膠已批次供應中芯國際等頭部晶圓廠,ArF光刻膠研發進展順利。晶瑞電材 (300655.SZ):核心邏輯:公司曾斥資購買ASML光刻機專門用於光刻膠的研發測試,展現了極強的攻堅決心。其I線光刻膠已量產,KrF光刻膠進入中試階段。6.2 配套裝置:塗膠顯影與薄膜沉積芯源微 (688037.SH / Kingsemi):核心邏輯:國產塗膠顯影機(Track)的絕對龍頭。光刻機與塗膠顯影機通常是1:1配套使用的。芯源微的產品已打破東京電子(TEL)的壟斷,通過了SMEE和主流晶圓廠的驗證。隨著國產光刻機的放量,芯源微將是確定性最高的受益者之一。拓荊科技 (688072.SH / Piotech):核心邏輯:2025年9月,大基金三期旗下“國投吉信”向拓荊科技子公司注資4.5億元,這是大基金三期公開披露的首批投資項目之一。雖然拓荊的主業是薄膜沉積(PECVD),但其在大基金眼中的戰略地位極高,且薄膜沉積與光刻工藝緊密相關,是全產線國產化的重要一環。6.3 基礎材料:石英與掩膜版南通天晶 (Nantong Crystal):核心邏輯:2025年10月,大基金三期直接入股南通天晶,持股比例達25%。這是一家生產高純石英材料的企業,其產品是製造掩膜版基板和光刻機鏡頭玻璃的核心材料。上市關聯:雖然南通天晶未上市,但其供應鏈地位的提升將利多整個光掩膜類股。A股中路維光電 (688401.SH) 和 清溢光電 (688138.SH) 作為下游掩膜版製造商,將受益於上游材料的國產化突破,減少對進口石英基板的依賴。7. 區域產業叢集投資地圖為了輔助投資決策,我們將上述企業按地域進行梳理,形成三大投資高地:7.1 上海叢集:整機與製造中心地位:SMEE總部所在地,中國晶片製造中心(中芯國際、華虹)。核心標的:張江高科(SMEE股東)、中微公司(刻蝕龍頭)、盛美上海(清洗龍頭)、彤程新材(總部位於上海)。關注點:張江科學城的產業協同效應,以及SMEE 28nm光刻機的量產進度。7.2 北京叢集:研發與零部件中心地位:科研院所云集(中科院微電子所、清華大學),零部件研發實力最強。核心標的:北方華創(裝置平台龍頭)、華卓精科(工件台,未上市)、科益虹源(光源,未上市)、京儀裝備(配套裝置)。關注點:大基金三期總部的政策導向,以及科研院所技術的產業化轉化(如7-Star七星電子與北方華創的整合)。7.3 深圳叢集:華為生態與應用中心地位:華為總部所在地,SiCarrier及“影子工廠”大本營,市場化程度最高。核心標的:英諾雷射(雷射器)、大族雷射(雷射裝置)、強瑞技術(華為工裝測試)、賽微電子(MEMS)。關注點:華為哈勃投資的動向,以及SiCarrier供應鏈的各類非標自動化裝置供應商。8. 投資策略與風險研判8.1 投資時間軸與策略建議8.2 資料圖表:重點關注企業估值與業務矩陣8.3 風險提示技術攻關不及預期:光刻機涉及數十萬個零部件,系統整合難度極大。若國產28nm光刻機良率遲遲無法達標,將影響下游擴產進度,進而壓制整個產業鏈的估值。制裁進一步升級:美國可能將制裁範圍從“黑名單”擴大到“白名單”以外的所有企業,甚至對由於“影子工廠”供貨的零部件廠商實施次級制裁。這將導致核心元器件(如進口高端軸承、感測器、特種閥門)斷供,影響國產裝置的交付。市場情緒波動:光刻機概念股普遍估值較高(PE往往在50倍甚至100倍以上),股價對消息面極其敏感。若市場風格切換,高估值類股面臨回呼風險。9. 結語中國的光刻機是一場沒有退路的戰役。這不僅是技術的角逐,更是國家意志的體現。對於投資者而言,這既是巨大的博弈風險,也是歷史性的機遇。在這個特殊的歷史時期,投資邏輯必須服從於產業安全與國家戰略。我們建議投資者保持戰略定力,透過短期概念炒作的迷霧,堅定佈局那些在光源、光學、材料等底層技術上具有真實突破能力,且深度融入華為-SMEE-大基金這一鐵三角生態的優質企業。這些企業,將是未來十年中國半導體產業皇冠上最璀璨的明珠。 (張張和他的爸爸)